Skip to main content
Log in

Dynamic Crosstalk Analysis in Coupled Interconnects for Ultra-Low Power Applications

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Ultra-low power circuit design has received a wide attention due to the fast growth and prominence of portable battery-operated devices with stringent power constraint. Though sub-threshold circuit operation shows huge potential toward satisfying the ultra-low power requirement, it holds challenging design issues. Of these, the increased crosstalk and delay have become serious challenges, particularly for sub-threshold interconnects as integration density increases with every scaled technology node. Consequently, in this paper an analytical approach providing closed form expressions for dynamic crosstalk in coupled interconnects under sub-threshold condition has been proposed. The proposed model is based on the sub-threshold current–voltage expression for a metal-oxide semiconductor transistor. The model determines the propagation delay and timings of the aggressor and victim drivers for the conditions when inputs are switching in-phase and out-of-phase. Subsequently, the transient analysis of dynamic crosstalk is carried out. The comparison of analytical results with SPICE shows that the model captures waveform shape, propagation delay, and timing with good accuracy, with less than 5 % error in timing estimation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

References

  1. K. Agarwal, D. Sylvester, D. Blaauw, Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans Comput. Aided Des. Integr. Circuits Syst. 25(5), 892–901 (2006)

    Article  Google Scholar 

  2. M. Alioto, Understanding DC behavior of subthreshold CMOS logic through closed-form analysis. IEEE Trans. Circuits Syst. 57(7), 1597–1607 (2010)

    Article  MathSciNet  Google Scholar 

  3. J.H. Anderson, F.N. Najm, Low power programmable FPGA routing circuitry. IEEE Trans. Very Large Scale Integr. Syst. 17(8), 1048–1060 (2009)

    Article  Google Scholar 

  4. B.H. Calhoun, A. Chandrakasan, Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE J. Solid State Circuits 40(9), 1778–1786 (2005)

    Article  Google Scholar 

  5. B.H. Calhoun, A. Wang, A. Chandrakasan, Device sizing for minimum energy operation in subthreshold circuits, in Proceedings of the IEEE Custom Integrated Circuits Conference, 2004, pp. 95–98

  6. R. Chandel, S. Sarkar, R.P. Agarwal, Repeater insertion in global interconnects in VLSI circuits. Microelectron. Int. 22(1), 43–50 (2005)

    Article  Google Scholar 

  7. D. Das, H. Rahaman, Crosstalk overshoot/undershoot analysis and its impact on gate oxide reliability in multi-wall carbon nanotube interconnects. J. Comput. Electron. 10(4), 360–372 (2011)

    Article  Google Scholar 

  8. H. Fathabadi, Ultra low power improved differential amplifier. Circuits Syst. Signal Process. 32(2), 861–875 (2013)

    MathSciNet  Google Scholar 

  9. S. Ge, E.G. Friedman, Data bus swizzling in TSV-based three-dimensional integrated circuits. Microelectron. J. 44(8), 696–705 (2013)

    Article  Google Scholar 

  10. S.D. Pable, M. Hasan, High speed interconnect through device optimization for subthreshold FPGA. Microelectron. J. 42(3), 545–552 (2011)

    Article  Google Scholar 

  11. S.N. Pu, W.Y. Yin, J.F. Mao, Q.H. Liu, Crosstalk prediction of single- and double-walled carbon-nanotube bundle interconnects. IEEE Trans. Electron Devices 56(4), 560–568 (2009)

    Article  Google Scholar 

  12. S. Subash, J. Kolar, M.H. Chowdhury, A new spatially rearranged bundle of mixed carbon nanotubes as VLSI Interconnection. IEEE Trans. Nanotechnol. 12(1), 3–12 (2013)

    Article  Google Scholar 

  13. K.T. Tang, E.G. Friedman, Delay and power expressions characterizing a CMOS inverter driving an RLC load, in Proceedings of the IEEE Circuits and Systems Symposium, Geneva, 2000, pp. 283–286

  14. A. Wang, B.H. Calhoun, A.P. Chandrakasan, Sub-threshold Design for Ultra Low-Power Systems (Springer, New York, 2006)

    Google Scholar 

  15. S.C. Wong, T.G.Y. Lee, D.J. Ma, Modeling of interconnect capacitance, delay, and crosstalk in VLSI. IEEE Trans. Semicond. Manuf. 13(1), 108–111 (2000)

    Article  Google Scholar 

  16. Predictive Technology Model (PTM), http://ptm.asu.edu. Accessed 14 March 2014

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rohit Dhiman.

Appendices

Appendix 1

1.1 Output Voltages for Slow Ramp Input Signal: In-Phase Switching

If the active device enters into sub-linear region before the completion of input transition, the input ramp signal is a slow ramp signal. The output voltages of coupled buffers in the time interval \(0\le t\le \varsigma _{n_1 }\) are essentially similar to (8) and (9). At \(\varsigma _{n_1 } \) instant, MN1 leaves the sub-saturation region. MN2 makes transition to sub-linear region of its characteristics at \(t =\varsigma _{n_2 } \). The output voltages of each CMOS buffer in this interval are given by following expressions:

$$\begin{aligned} V_1&=V_{1_{{t}=\varsigma _{n_1 } } } \mathrm{e}^{-\alpha _{n_1 } ( {t-\varsigma _{n_1 } })}-\frac{C_\mathrm{c} B_{n_2 } {\tau }_\mathrm{r} {\eta }_n U_{\mathrm{th}} \mathrm{e}^{-\frac{V_{\mathrm{DD}} }{{\eta }_n U_{\mathrm{th}} }}}{V_{\mathrm{DD}} \left[ {C_1 C_2 +C_\mathrm{c} ( {C_1 +C_2 })} \right] +{\tau }_\mathrm{r} {\eta }_n U_{\mathrm{th}} ( {C_2 +C_\mathrm{c} })\gamma _{n_1 } }\nonumber \\&\quad \times \left[ {\mathrm{e}^{\frac{V_{\mathrm{DD}} ( {t-\varsigma _{n_1 } })}{{\tau }_\mathrm{r} {\eta }_\mathrm{n} U_{\mathrm{th}} }}-\mathrm{e}^{-\alpha _{n_1 } ( {t-\varsigma _{n_1 } })}} \right] \end{aligned}$$
(61)
$$\begin{aligned} V_2&= V_{2_{t=\varsigma _{n_1 } } } - R_2 B_{n_2 } -\frac{B_{n_2 } {\tau }_\mathrm{r} {\eta }_n U_{\mathrm{th}} ( {C_1 +C_\mathrm{c} })}{V_{\mathrm{DD}} \left[ {C_1 C_2 +C_\mathrm{c} ( {C_1 +C_2 })} \right] }\mathrm{e}^{-\frac{V_{\mathrm{DD}} }{{\eta }_n U_{\mathrm{th}} }}\left[ {\mathrm{e}^{\frac{V_{\mathrm{DD}} }{{\tau }_\mathrm{r} }( {t-\varsigma _{n_1 } })}-1} \right] \nonumber \\&\quad -\frac{C_\mathrm{c} }{C_1 C_2 +C_\mathrm{c} ( {C_1 +C_2 })}\gamma _{n_1 } \int \limits _{\varsigma _{n_1 } }^{t} {V_1 } \mathrm{d}t. \end{aligned}$$
(62)

Appendix 2

1.1 Output Voltages for Slow Ramp Input Signal: Out-of-Phase Switching

During the operating condition, \(0\le t \le \varsigma _{\mathrm{p}_2 } \), expressions for the output voltages are same as for fast ramp input, i.e., (41) and (42). At \(t=\varsigma _{p_2 } \), MP2 leaves the sub-saturation and enters into the sub-linear region. In the time limit \(\varsigma _{p_2 } \le t \le \varsigma _{n_1 } \), the output voltages are given by

$$\begin{aligned} V_1&= V_{1_{{t}=\varsigma _{p_2 } } } - B_{n_1 } \left( {R_1 +\frac{( {C_2 +C_\mathrm{c} }) {\tau }_\mathrm{r} {\eta }_n U_{\mathrm{th}} }{( {C_1 C_2 + C_\mathrm{c} ( {C_1 + C_2 })})V_{\mathrm{DD}} }}\right) \left[ {\mathrm{e}^{\frac{\frac{V_{\mathrm{DD}} }{{\tau }_\mathrm{r} }( {t-\varsigma _{p_2 } })}{{\eta }_n U_{\mathrm{th}} }}- \mathrm{e}^{-\frac{V_{\mathrm{DD}} }{{\eta }_n U_{\mathrm{th}} }}} \right] \nonumber \\&\quad + \frac{C_\mathrm{c} }{C_1 C_2 +C_\mathrm{c} ( {C_1 + C_2 })}\gamma _{p_2 }\left[ {( {t-\varsigma _{p_2 } }) V_{\mathrm{DD}} -\int \limits _{\varsigma _{p_2 } }^{t} {V_2 } \mathrm{d}t} \right] \end{aligned}$$
(63)
$$\begin{aligned} V_2&= V_{\mathrm{DD}} + \mathrm{e}^{-\alpha _{p_2 } ( {t-\varsigma _{p_2 } })}( {V_{2_{\mathrm{t}=\varsigma _{p_2 } } } -V_{\mathrm{DD}} })\nonumber \\&-\frac{C_\mathrm{c} B_{n_1 } {\tau }_\mathrm{r} {\eta }_n U_{\mathrm{th}} \mathrm{e}^{-\frac{V_{\mathrm{DD}} }{{\eta }_n U_{\mathrm{th}} }}\left[ {\mathrm{e}^{\frac{\frac{V_{\mathrm{DD}} }{{\tau }_\mathrm{r} }( {t-\varsigma _{p_2 } })}{{\eta }_n U_{\mathrm{th}} }}- \mathrm{e}^{-\alpha _{p_2 } ( {t-\varsigma _{\mathrm{p}_2 } })}} \right] }{V_{\mathrm{DD}} \left[ {C_1 C_2 +C_\mathrm{c} ( {C_1 + C_2 })} \right] +( {C_1 +C_\mathrm{c} })\gamma _{p_2 } {\tau }_\mathrm{r} {\eta }_n U_{\mathrm{th}} }\end{aligned}$$
(64)
$$\begin{aligned}&\quad \mathrm{where}\,\,\alpha _{p_2 } =\frac{( {C_1 +C_\mathrm{c} })}{\left[ {C_1 C_2 +C_\mathrm{c} ( {C_1 +C_2 })} \right] (1+\gamma _{p_2 } R_2 )}\gamma _{p_2 }. \end{aligned}$$
(65)

Appendix 3

1.1 Estimation of Interconnect Impedance Parasitics

The interconnect impedance parasitics, i.e., resistance and capacitance are presented here and are computed using Ref. [15]. Figure 9 shows the cross-sectional dimensions of interconnect where interconnect is assumed to be placed between two co-planar interconnects and two orthogonal routing planes.

Fig. 9
figure 9

Interconnect cross-sectional dimensions

As shown in Fig. 9, if w and h are the width and the height of the interconnect, respectively, s is the separation between two interconnects, \(t \) is the thickness of the dielectric, the interconnect resistance per unit length is given as,

$$\begin{aligned} R = \frac{\rho }{{wt}}, \end{aligned}$$
(66)

where \(\rho \) stands for the resistivity of the interconnect metal. The interconnect capacitance per unit length is calculated as

$$\begin{aligned} C = \varepsilon \left[ {\frac{{w}}{{h}}+2.22\left( {\frac{{s}}{{s}+0.70\mathrm{h}}}\right) ^{3.19}+1.17\left( {\frac{{s}}{{s}+1.51{h}}}\right) ^{0.76}\left( {\frac{t}{t+4.53{h}}}\right) ^{0.12}} \right] , \end{aligned}$$
(67)

where \(\varepsilon \) is the relative permittivity. It consists of the sum of three contributions from left to right: the ideal parallel-plate capacitor, the parallel-plate corner effects, and the sidewall capacitor. The interconnect coupling capacitance per unit length is determined using

$$\begin{aligned} C_{\mathrm{c}}&= \varepsilon \left[ 1.14\frac{t}{{s}} \left( {\frac{{h}}{{h}+2.06{s}}}\right) ^{0.09}+0.74 \left( {\frac{{w}}{{w}+1.59 {s}}}\right) ^{1.14}\right. \nonumber \\&\quad \left. +1.16 \left( {\frac{{w}}{{w}+1.87 {s}}}\right) ^{0.16}\left( {\frac{{h}}{{h}+0.98{s}}}\right) ^{1.18} \right] . \end{aligned}$$
(68)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dhiman, R., Chandel, R. Dynamic Crosstalk Analysis in Coupled Interconnects for Ultra-Low Power Applications. Circuits Syst Signal Process 34, 21–40 (2015). https://doi.org/10.1007/s00034-014-9853-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-014-9853-y

Keywords

Navigation