Skip to main content
Log in

Insight into Threshold Voltage and Drain Induced Barrier Lowering in Negative Capacitance Field Effect Transistor

  • Regular Paper
  • Published:
Transactions on Electrical and Electronic Materials Aims and scope Submit manuscript

Abstract

In this paper, we have discussed threshold voltage and drain induced barrier lowering in NCFET. Threshold voltage in NCFET is lower as compared to MOSFET which is mainly because of negative equivalent oxide capacitance in NCFET. Further, we have discussed drain induced barrier lowering in NCFET and MOSFET. An increase in drain bias in MOSFET leads to decrease in threshold voltage and an increase in leakage current whereas in NCFET increase in drain bias leads to increase in threshold voltage and decrease in leakage current. We have obtained a positive value of DIBL factor for MOSFET and negative value for NCFET.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4

Similar content being viewed by others

References

  1. A. Jain, M.A. Alam, Stability constraints define the minimum subthreshold swing of a negative capacitance field-effect transistor. IEEE Trans. Electron Dev. 61(7), 2235–2242 (2014). https://doi.org/10.1109/TED.2014.2316167

    Article  Google Scholar 

  2. T. Yuan, T.H. Ning, Fundamentals of Modern VLSI Devices (Cambridge University Press, Cambridge, 1998)

    Google Scholar 

  3. V.V. Zhirnov, R.K. Cavin, Nanoelectronics: Negative capacitance to the rescue? Nat. Nanotechnol. 3(2), 77–78 (2008). https://doi.org/10.1038/nnano.2008.18

    Article  CAS  Google Scholar 

  4. A.I. Khan, K. Chatterjee, B. Wang, S. Drapcho, L. You, C. Serrao, S.R. Bakaul, R. Ramesh, S. Salahuddin, Negative capacitance in a ferroelectric capacitor. Nat. Mater. 14(2), 182–186 (2015). https://doi.org/10.1038/nmat4148

    Article  CAS  Google Scholar 

  5. A.I. Khan, D. Bhowmik, P. Yu, S.J. Kim, X. Pan, R. Ramesh, S. Salahuddin, Experimental evidence of ferroelectric negative capacitance in nanoscale heterostructures. Appl. Phys. Lett. 99(11), 113501 (2011). https://doi.org/10.1063/1.3634072

    Article  CAS  Google Scholar 

  6. D.J.R. Appleby, N.K. Ponon, S.K.K. Kwa, B. Zou, P.K. Petrov, T. Wang, N.M. Alford, A. O’Neill, Experimental observation of negative capacitance in ferroelectrics at room temperature. Nano Lett. 14(7), 3864–3868 (2014). https://doi.org/10.1021/nl5017255

    Article  CAS  Google Scholar 

  7. S. Salahuddin, S. Datta, Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8(2), 405–410 (2008). https://doi.org/10.1021/NL071804G

    Article  CAS  Google Scholar 

  8. C.W. Yeung, A.I. Khan, A. Sarker, S. Salahuddin, C. Hu, Low power negative capacitance FETs for future quantum-well body technology, in 2013 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, pp. 1–2 (2013). https://doi.org/10.1109/vlsitsa.2013.6545648

  9. G. Pahwa, T. Dutta, A. Agarwal, S. Khandelwal, S. Salahuddin, C. Hu, Y.S. Chauhan, Analysis and compact modeling of negative capacitance transistor with high ON-current and negative output differential resistance—part II: model validation. IEEE Trans. Electron Dev. 63(12), 4986–4992 (2016). https://doi.org/10.1109/ted.2016.2614436

    Article  Google Scholar 

  10. A. Sharma, K. Roy, Design space exploration of hysteresis-free HfZrOx-based negative capacitance FETs. IEEE Electron. Dev. Lett. 38(8), 1165–1167 (2017). https://doi.org/10.1109/LED.2017.2714659

    Article  CAS  Google Scholar 

  11. J. Seo, J. Lee, M. Shin, Analysis of drain-induced barrier rising in short-channel negative-capacitance FETs and its applications. IEEE Trans. Electron Dev. 64(4), 1793–1798 (2017). https://doi.org/10.1109/TED.2017.2658673

    Article  Google Scholar 

  12. C. Jin, T. Saraya, T. Hiramoto, M. Kobayashi, Transient negative capacitance as cause of reverse drain-induced barrier lowering and negative differential resistance in ferroelectric FETs. VLSI Technol. Symp. 2019, 220–221 (2019)

    Google Scholar 

  13. Y. Peng, W. Xiao, G. Han, J. Wu, H. Liu, Y. Liu, N. Xu, T.K. Liu, Y. Hao, Nanocrystal-embedded-insulator ferroelectric negative capacitance FETs with sub-kT/q swing. IEEE Electron Dev. Lett. 40, 9‒12 (2018)

  14. J. Zhou, G. Han, J. Li, Y. Liu, Y. Peng, J. Zhang, Negative differential resistance in negative capacitance FETs. IEEE Electron Dev. Lett. 39(4), 622–625 (2018)

    Article  CAS  Google Scholar 

  15. J. Zhou, G. Han, Q. Li, Y. Peng, X. Lu, C. Zhang, J. Zhang, Q.Q. Sun, D.W. Zhang, Y. Hao, Ferroelectric HfZrOx; Ge and GeSn PMOSFETs with Sub-60 mV/decade subthreshold swing, negligible hysteresis, and improved I, in 2016 IEEE International Electron Devices Meeting (IEDM) (2016), pp. 12.2.1–12.2.4

  16. B. Awadhiya, P.N. Kondekar, A.D. Meshram, Understanding negative differential resistance and region of operation in undoped HfO2-based negative capacitance field effect transistor. Appl. Phys. A Mater. Sci. Process. (2019). https://doi.org/10.1007/s00339-019-2718-2

    Article  Google Scholar 

  17. L.D. Landau, I.M. Khalatnikov, On the anomalous absorption of sound near a second order phase transition point, in Collected Papers of L.D. Landau (Elsevier, Amsterdam, 1965), pp. 626–629. https://doi.org/10.1016/b978-0-08-010586-4.50087-0

  18. T.K. Song, Landau–Khalatnikov simulations for ferroelectric switching in ferroelectric random access memory application. J.-Korean Phys. Soc. 46(1), 5–9 (2005)

    CAS  Google Scholar 

  19. M.A. Wahab, M.A. Alam, A verilog—a compact model for negative capacitance FET. (Version 1.1.3). nanoHUB (2017). https://doi.org/10.4231/d3qz22k3z

  20. N.P. Maity, R. Maity, R.K. Thapa, S. Baishya, A tunneling current density model for ultra thin HfO2 high-k dielectric material based MOS devices. Superlattices Microstruct. 95, 24–32 (2016). https://doi.org/10.1016/j.spmi.2016.04.032

    Article  CAS  Google Scholar 

  21. N.P. Maity, R. Maity, S. Baishya, Voltage and oxide thickness dependent tunneling current density and tunnel resistivity model: application to high-k material HfO2 based MOS devices. Superlattices Microstruct. 111, 628–641 (2017). https://doi.org/10.1016/j.spmi.2017.07.022

    Article  CAS  Google Scholar 

  22. N.P. Maity, R. Maity, S. Maity, S. Baishya, Comparative analysis of the quantum FinFET and trigate FinFET based on modeling and simulation. J. Comput. Electron. 18(2), 492–499 (2019). https://doi.org/10.1007/s10825-018-01294-z

    Article  CAS  Google Scholar 

  23. N.P. Maity, R. Maity, S. Baishya, An analytical model for the surface potential and threshold voltage of a double-gate heterojunction tunnel FinFET. J. Comput. Electron. 18(1), 65–75 (2019). https://doi.org/10.1007/s10825-018-1279-5

    Article  CAS  Google Scholar 

  24. S. Yadav, D. Sharma, D. Soni, M. Aslam, Controlling of ambipolarity with improved RF performance by drain/gate workfunction engineering and using high-k dielectric material in electrically doped TFET: proposal and optimization. J. Comput. Electron. 16, 721–731 (2017). https://doi.org/10.1007/s10825-017-1019-2

    Article  Google Scholar 

  25. S. Yadav, M. Aslam, D. Soni, D. Sharma, A novel hetero-material gate-underlap electrically doped TFET for improving DC/RF and ambipolar. Behav. Superlattices Microstruct. 117, 9–17 (2018). https://doi.org/10.1016/j.spmi.2018.02.005

    Article  CAS  Google Scholar 

  26. P. Polakowski, J. Muller, Ferroelectricity in undoped hafnium oxide. Appl. Phys. Lett. 106(23), 232905 (2015). https://doi.org/10.1063/1.4922272

    Article  CAS  Google Scholar 

  27. K.D. Kim, M.H. Park, H.J. Kim, Y.J. Kim, T. Moon, Y.H. Lee, S.D. Hyun, T. Gwon, C.S. Hwaung, Ferroelectricity in undoped-HfO2 thin films induced by deposition temperature control during atomic layer deposition. J. Mater. Chem. C 4(28), 6864–6872 (2016). https://doi.org/10.1039/C6TC02003H

    Article  CAS  Google Scholar 

  28. B. Awadhiya, P.N. Kondekar, A.D. Meshram, Investigating undoped HfO2 as ferroelectric oxide in leaky and non-leaky FE–DE heterostructure. Trans. Electr. Electron. Mater. 20(5), 467–472 (2019). https://doi.org/10.1007/s42341-019-00137-3

    Article  Google Scholar 

  29. A.I. Khan, U. Radhakrishna, K. Chatterjee, S. Salahuddin, D.A. Antoniadis, Negative capacitance behavior in a leaky ferroelectric. IEEE Trans. Electron Dev. 63(11), 4416–4422 (2016). https://doi.org/10.1109/TED.2016.2612656

    Article  Google Scholar 

  30. B. Obradovic, T. Rakshit, R. Hatcher, J.A. Kittl, M.S. Rodder, Ferroelectric switching delay as cause of negative capacitance and the implications to NCFETs, in 2018 IEEE Symposium on VLSI Technology (Honolulu, HI, 2018), pp. 51–52. https://doi.org/10.1109/VLSIT.2018.8510628

  31. B. Awadhiya, P.N. Kondekar, A.D. Meshram, Effect of ferroelectric thickness variation in undoped HfO2-based negative-capacitance field-effect transistor. J. Electron. Mater. (2019). https://doi.org/10.1007/s11664-019-07483-1

  32. H. Wang, M. Yang, Q. Huang, K. Zhu, Y. Zhao, Z. Liang, C. Chen, Z. Wang, Y. Zhong, X. Zhang, R. Huang, New insights into the physical origin of negative capacitance and hysteresis in NCFETs, in 2018 IEEE International Electron Devices Meeting (IEDM) (San Francisco, CA, 2018), pp. 31.1.1–31.1.4 https://doi.org/10.1109/iedm.2018.8614504

  33. A. Ortiz-Conde, F.J. Garcı́a, J.J. Liou, A. Cerdeira, M. Estrada, Y. Yue, A review of recent MOSFET threshold voltage extraction methods. Microelectron. Reliabil. 42(4–5), 583–596 (2002). https://doi.org/10.1016/s0026-2714(02)00027-6

    Article  Google Scholar 

  34. D. Neamen, Semiconductor Physics and Devices (McGraw-Hill, New York, 2003)

    Google Scholar 

  35. B. Awadhiya, P.N. Kondekar, A.D. Meshram, Passive voltage amplification in non-leaky ferroelectric–dielectric heterostructure. Micro Nano Lett. 13(10), 1399–1403 (2018). https://doi.org/10.1049/mnl.2018.5172

    Article  CAS  Google Scholar 

  36. B. Awadhiya, P.N. Kondekar, A.D. Meshram, Analogous behavior of FE–DE heterostructure at room temperature and ferroelectric capacitor at Curie temperature. Superlattices Microstruct. 123, 306–310 (2018). https://doi.org/10.1016/J.SPMI.2018.09.015

    Article  CAS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bhaskar Awadhiya.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Appendix

Appendix

To understand the effect of gate \((V_{G} )\) and drain \(\left( {V_{D} } \right)\) bias on internal node voltage \((V_{MOS} )\), we need to study the equivalent capacitor model for NCFET shown in Fig. 5.

Fig. 5
figure 5

a Equivalent capacitor model for NCFET at \(V_{D} = 0\). b Equivalent capacitor model for NCFET at \(V_{G} = 0\)

Considering \(V_{D} = 0\) and applying KCL at node \(V_{MOS}^{a}\) leads to following equation:

$$VC_{D} + VC_{S} + VC_{dep} + \left( {V - V_{MOS}^{a} } \right)C_{ox} = 0$$
(16)
$$(V_{MOS}^{a} - V)C_{ox} + (V_{MOS}^{a} - V_{G} )C_{F} = 0$$
(17)

Solving the Eqs. (16) and (17)

$$V_{MOS}^{a} = \frac{{C_{F} V_{G} }}{{C_{F} + C_{MOS} }}$$
(18)

Considering the negative nature of the ferroelectric capacitor \(V_{MOS}\) can be represented as:

$$V_{MOS}^{a} = \frac{{V_{G} }}{{1 - \frac{{C_{MOS} }}{{\left| {C_{F} } \right|}}}}$$
(19)
$$\frac{1}{{C_{MOS} }} = \frac{1}{{C_{ox} }} + \frac{1}{{C_{S} + C_{D} + C_{dep} }}$$
(20)

Considering \(V_{G} = 0\) and applying KCL at node \(V_{MOS}^{b}\) leads to the following equation:

$$\left( {V - V_{D} } \right)C_{D} + VC_{S} + VC_{dep} + \left( {V - V_{MOS}^{b} } \right)C_{ox} = 0$$
(21)
$$(V_{MOS}^{b} - V)C_{ox} + V_{MOS}^{b} C_{F} = 0$$
(22)
$$V_{MOS}^{b} = \frac{{C_{D} C_{MOS} V_{D} }}{{\left( {C_{S} + C_{D} + C_{dep} } \right)\left( {C_{F} + C_{MOS} } \right)}}$$
(23)

Since the condition for no hysteresis in NCFET is \(C_{MOS} < \left| {C_{F} } \right|\) and to achieve sub-60 mV/decade subthreshold swing condition is \(C_{ox} > \left| {C_{F} } \right|\). Now to achieve both the advantages the conditions become \(C_{MOS} < \left| {C_{F} } \right| < C_{ox}\). Since \(C_{ox}\) is greater than \(C_{MOS}\). Equation (20) can be approximated as \(C_{MOS} = C_{S} + C_{D} + C_{dep}\). Hence Eq. (23) can be written as:

$$V_{MOS}^{b} = \frac{{C_{D} V_{D} }}{{(C_{F} + C_{MOS} )}}$$
(24)

Considering the negative nature of the ferroelectric capacitor \(V_{MOS}\) can be represented as:

$$V_{MOS}^{b} = \frac{{V_{D} }}{{\frac{{\left| {C_{F} } \right| - C_{MOS} }}{{ - C_{D} }}}}$$
(25)

\(V_{MOS}\) can be expressed as follows:

$$V_{MOS} = V_{MOS}^{a} + V_{MOS}^{b}$$
(26)
$$V_{MOS} = \frac{{\left| {C_{F} } \right|V_{G} }}{{\left| {C_{F} } \right| - C_{MOS} }} + \frac{{ - C_{D} V_{D} }}{{\left| {C_{F} } \right| - C_{MOS} }}$$
(27)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Awadhiya, B., Kondekar, P.N., Yadav, S. et al. Insight into Threshold Voltage and Drain Induced Barrier Lowering in Negative Capacitance Field Effect Transistor. Trans. Electr. Electron. Mater. 22, 267–273 (2021). https://doi.org/10.1007/s42341-020-00230-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s42341-020-00230-y

Keywords

Navigation