Skip to main content
Log in

LPTest: a Flexible Low-Power Test Pattern Generator

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

This paper presents a low power test pattern generator, LPTest, that minimizes the peak power consumption during the shift and capture cycles for scan-based stuck-at and transition fault testing. LPTest incorporates both power-aware ATPG and low-power X-filling techniques to achieve higher power reduction. Its enabling technique is a power estimation method which assesses the lower-bounds of the shift-in, shift-out, and capture powers of a partially specified test pattern. The lower-bound estimation method is utilized in LPTest’s ATPG engine, dynamic compaction, and X-filling. LPTest has been validated using ISCAS89 benchmark circuits. When considering all cycles, LPTest achieves better than 22% peak WSA (weighted switching activity) reduction for stuck-at and transition faults compared to a commercial ATPG with high merge ratio and random-fill options. Meanwhile, the average power reduction is better than 43%. When only capture power is of concern, LPTest attains more than 46% WSA reduction for stuck-at and transitions.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

References

  1. Ahmed N, Tehranipoor M, Jayaram V (2007) Supply voltage noise aware ATPG for transition delay faults. In: Proc. VLSI test symposium, pp 179–186

  2. Bhunia S, Mahmoodi H, Ghosh D, Mukhopadhyay S, Roy K (2005) Low-power scan design using first-level supply gating. IEEE Trans Very Large Scale Integr Syst 13(3):384–395

    Article  Google Scholar 

  3. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2001) A gated clock scheme for low power scan testing of logic ICs or embedded cores. In: Proc. asian test symposium, pp 253–258

  4. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2002) Power driven chaining of flip-flops in scan architectures. In: Proc. international test conference, pp 796–803

  5. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2003) Efficient scan chain design for power minimization during scan testing under routing constraint. In: Proc. international test conference, pp 488–493

  6. Butler KM, Saxena J, Fryars T, Hetherington G, Jain A, Lewis J (2004) Minimizing power consumption in scan testing: pattern generation and DFT techniques. In: Proc. international test conference, pp 355–364

  7. Corno F, Prinetto P, Redaudengo M, Reorda M (1998) Test pattern generation methodology for low power consumption. In: Proc. VLSI test symposium, pp 453–457

  8. Devanathan V, Ravikumar C, Kamakoti V (2007) Glitch-aware pattern generation and optimization framework for power-safe scan test. In: Proc. VLSI test symposium, pp 167–172

  9. Gerstendorfer S, Wunderlich H-J (1999) Minimized power consumption for scan-based BIST. In: Proc. international test conference, pp 77–84

  10. Goel P (1981) An implicit enumeration algorithm to generate tests for combinational logic circuits. IEEE Trans Comput 30(3):676–683

    Article  MathSciNet  Google Scholar 

  11. Huang X-L, Huang J-L (2006) A routability constrained scan chain ordering technique for test power reduction. In: Proc. Asia and South Pacific design automation conference, pp 648–652

  12. Kajihara S, Ishida K, Miyase K (2002) Test vector modification for power reduction during scan testing. In: Proc. VLSI test symposium, pp 160–165

  13. Li W, Reddy SM, Pomeranz I (2005) On reducing peak current and power during test. In: Proc. computer society annual symposium on VLSI, pp 156–161

  14. Miyase K, Kajihara S (2004) XID: don’t care identification of test patterns for combinational circuits. IEEE Trans Comput-Aided Des 23(2):321–326

    Article  Google Scholar 

  15. Pouya B, Crouch A (2000) Optimization trade-offs for vector volume and test power. In: Proc. international test conference, pp 873–881

  16. Ravi S (2007) Power-aware test: challenges and solutions. In: Proc. international test conference

  17. Remersaro S, Lin X, Reddy SM, Pomeranz I, Rajski J (2007) Low shift and capture power scan tests. In: Proc. international conference on VLSI design, pp 793–798

  18. Remersaro S, Lin X, Zhang Z, Reddy SM, Pomeranz I, Rajski J (2006) Preferred fill: a scalable method to reduce capture power for scan based designs. In: Proc. international test conference, pp 32.2.1–32.2.10

  19. Rosinger P, Al-Hashimi BM, Nicolici N (2004) Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. IEEE Trans Comput-Aided Des 23(7):1142–1153

    Article  Google Scholar 

  20. Sankaralingam R, Touba N (2002) Controlling peak power during scan testing. In Proc. VLSI test symposium, pp 153–159

  21. Sankaralingam R, Oruganti R, Touba N (2000) Static compaction techniques to control scan vector power dissipation. In: Proc. VLSI test symposium, pp 35–40

  22. Sankaralingam R, Pouya B, Touba N (2001) Reducing power dissipation during test using scan chain disable. In: Proc. VLSI test symposium, pp 319–324

  23. Saxena J, Butler KM, Whetsel L (2001) An analysis of power reduction techniques in scan testing. In: Proc. international test conference, pp 670–677

  24. Saxena J, Butler KM, Jayaram VB, Kundu S, Arvind NV, Sreeprakash P, Hachinger M (2003) A case study of IR-drop in structured at-speed testing. In: Proc. international test conference, pp 1098–1104

  25. Sharifi S, Jaffari J, Hosseinabady M, Afzali-Kusha A, Navabi Z (2005) Simultaneous reduction of dynamic and static power in scan structures. In: Proc. design, automation and test in Europe, pp 846–851

  26. Sinanoglu O, Orailoglu A (2004) Scan power minimization through stimulus and response transformations. In: Proc. design, automation and test in Europe, pp 404–409

  27. Wang S, Gupta SK (2002) An automatic test pattern generator for minimizing switching activity during scan testing activity. IEEE Trans Comput-Aided Des 21(8):954–968

    Article  Google Scholar 

  28. Wang S, Gupta SK (2006) LT-RTPG: a new test-per-scan BIST TPG for low switching activity. IEEE Trans Comput-Aided Des 25(8):1565–1574

    Article  Google Scholar 

  29. Wen X, Yamashita Y, Kajihara S, Wang L-T, Saluja KK, Kinoshita K (2005) On low-capture-power test generation for scan testing. In: Proc. VLSI test symposium, pp 265–270

  30. Wen X, Yamashita Y, Morishima S, Kajihara S, Wang L-T, Saluja KK, Kinoshita K (2005) Low-capture-power test generation for scan-based at-speed testing. In: Proc. international test conference, pp 1019–1028

  31. Wen X, Kajihara S, Miyase K, Suzuki T, Saluja KK, Wang L-T, Abdel-Hafez KS, Kinoshita K (2006) A new ATPG method for efficient capture power reduction during scan testing. In: Proc. VLSI test symposium, pp 58–65

  32. Wen X, Miyase K, Kajihara S, Suzuki T, Yamato Y, Girard P, Ohsumi Y, Wang L-T (2007) A novel scheme to reduce power supply noise for high-quality at-speed scan testing. In: Proc. international test conference, pp 25.1.1–25.1.10

  33. Whetsel L (2000) Adapting scan architectures for low power operation. In: Proc. international test conference, pp 863–872

  34. Wohl P, Waicukauski JA, Patel S, Amin MB (2003) Efficient compression and application of deterministic patterns in a logic bist architecture. In: Proc. design automation conference, pp 566–569

  35. Wu M-F, Hu K-S, Huang J-L (2007) An efficient peak power reduction technique for scan testing. In: Proc. Asian test symposium, pp 111–114

  36. Yoshida T, Watari M (2002) MD-scan method for low power scan testing. In: Proc. Asian test symposium, pp 80–85

  37. Yoshida T, Watari M (2003) A new approach for low power scan testing. In: Proc. international test conference, pp 480–487

  38. Zorian Y (1993) A distributed BIST control scheme for complex VLSI devices. In: Proc. VLSI test symposium, pp 4–9

Download references

Acknowledgments

The authors would like to acknowledge Dr. X. Wen and Dr. K. Miyase from Kyushu Institute of Technology for providing their experimental results.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Meng-Fan Wu.

Additional information

Responsible Editor: M. Sonza Reorda

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wu, MF., Hu, KS. & Huang, JL. LPTest: a Flexible Low-Power Test Pattern Generator. J Electron Test 25, 323–335 (2009). https://doi.org/10.1007/s10836-009-5115-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-009-5115-5

Keywords

Navigation