Skip to main content
Log in

Parametric analysis on DC and analog/linearity response of multi-channel FinFET (Mch-FinFET) with spacer engineering

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

A newly invented structure called Multi-Fin-based FinFET (M-FinFET) device is a promising candidate for future improvisation of the semiconductor industry. In this article, Multi-channel FinFET (Mch-FinFET) is proposed. A comparative investigation of various DC, analog/linearity attributes is studied for gate length variation and oxide thickness through a Sentaurus TCAD tool. The simulation study concluded that the increased number of channels (= 3no.) has enhanced ION by 409.71% compared to single-channel FinFET. The decreased value of Fin width and Fin height has shown an impressive improvement of sub-threshold swing (SS) and leakage current, which helps achieve a better switching ratio. Mch-FinFET device with lower oxide thickness (Tox=1 nm) enhances the transconductance (Gm), drain conductance (Gd), intrinsic gain (Av), and transconductance gain factor (TGF) by 52.42%, 41.17%, 85.03%, respectively. Various linearity parameters like higher-order harmonics (Gm2 and Gm3), voltage intercepts points (VIP2 and VIP3), and 1-dB compression point has improved by 32.32%, 110.71% 77%, 60.09%, 418.86%, 411.5% respectively gate length of 10 nm. Besides that, a symmetric dual spacer material is introduced to the proposed structure to analyze the importance of spacer engineering. The simulation study reveals that the Mch-FinFET device with HfO2 spacer has improved driving current by 21.42%. The optimization of various short channel effects (SCEs) such as threshold voltage roll-off, sub-threshold swing (SS), and leakage current is reflected in introducing HfO2 spacer material. This detailed study is expected to design low-power RF circuits that would benefit future CMOS technology.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Data Availability

(Not applicable)

Research data associated with a paper is not available.

References

  1. Watanabe, T., Tatsumura, K., & Ohdomari, I. (2006). International technology roadmap for semiconductors 2005 edition. Physical Review Letters, 96, 1–23. https://doi.org/10.1103/PhysRevLett.96.196102.

    Article  Google Scholar 

  2. Sze, S. M. (2006). Semiconductor Devices: Physics and Technology, https://doi.org/10.1016/S0026-2692(82)80036-0.

  3. Pearce, C. W., & Yaney, D. S. (1985). Short-Channel effects in MOSFET’s. Ieee Electron Device Letters, 6, 326–328. https://doi.org/10.1109/EDL.1985.26143.

    Article  Google Scholar 

  4. Jacob, A. P., Xie, R., Sung, M. G., Liebmann, L., Lee, R. T. P., & Taylor, B. (2017). Scaling challenges for Advanced CMOS devices. International Journal of High Speed Electronics and Systems, 26, 1–76. https://doi.org/10.1142/S0129156417400018.

    Article  Google Scholar 

  5. Hu, C. (2011). FinFET and other New Transistor Technologies, Talk.

  6. Eng, Y. C., Hu, L., Chang, T. F., Hsu, S., Chiou, C. M., Wang, T., Yang, C. W., Cheng, O., Wang, C. Y., Tseng, C. S., Huang, R., Lin, P. H., Lu, K. Y., Chang, I. F., Lee, C. J., Wu, Y. L., & Chang, M. (2018). Importance of ∆ VDIBLSS/(ion /Ioff) in evaluating the performance of n-channel bulk FinFET devices. IEEE J Electron Devices Soc, 6, 207–213. https://doi.org/10.1109/JEDS.2018.2789922.

    Article  Google Scholar 

  7. Das, R. R., Maity, S., Choudhury, A., Chakraborty, A., Bhunia, C. T., & Sahu, P. P. (2018). Temperature-dependent short-channel parameters of FinFETs. Journal of Computational Electronics. https://doi.org/10.1007/s10825-018-1212-y.

    Article  Google Scholar 

  8. Yang, W., Yu, Z., & Tian, L. (2007). Scaling theory for FinFETs based on 3-D effects investigation. Ieee Transactions on Electron Devices, 54, 1140–1147. https://doi.org/10.1109/TED.2007.893808.

    Article  Google Scholar 

  9. Das, R. R., Maity, S., Muchahary, D., & Bhunia, C. T. (2017). Temperature dependent study of Fin-FET drain current through optimization of controlling gate parameters and dielectric material. Superlattices and Microstructures, 103, 262–269. https://doi.org/10.1016/j.spmi.2017.01.041.

    Article  Google Scholar 

  10. Ortiz-Conde, A., García Sánchez, F. J., Liou, J. J., Cerdeira, A., Estrada, M., & Yue, Y. (2002). A review of recent MOSFET threshold voltage extraction methods. Microelectronics Reliability, 42, 583–596. https://doi.org/10.1016/S0026-2714(02)00027-6.

    Article  Google Scholar 

  11. Kumar, A., & Singh, S. S. (2016). Optimizing FinFET parameters for minimizing short channel effects, Int. Conf. Commun. Signal Process. ICCSP (2016) 1448–1451. https://doi.org/10.1109/ICCSP.2016.7754396.

  12. Kumar, M. J., & Chaudhry, A. (2004). Two-dimensional analytical modeling of fully depleted DMG SOI MOSFET and evidence for diminished SCEs. Ieee Transactions on Electron Devices, 51, 569–574. https://doi.org/10.1109/TED.2004.823803.

    Article  Google Scholar 

  13. Cheng, H. W., & Li, Y. (2010). Random work function variation induced threshold voltage fluctuation in 16-nm bulk FinFET devices with high-κ-metal-gate material, 2010 14th Int. Work. Comput. Electron. IWCE (2010) 331–334. https://doi.org/10.1109/IWCE.2010.5677948.

  14. Hobbs, C. C., Fonseca, L. R. C., Knizhnik, A., Dhandapani, V., Samavedam, S. B., Taylor, W. J., Grant, J. M., Dip, L. R. G., Triyoso, D. H., Hegde, R. I., Gilmer, D. C., Garcia, R., Roan, D., Lovejoy, M. L., Rai, R. S., Hebert, E. A., Tseng, H. H., Anderson, S. G. H., White, B. E., & Tobin, P. J. (2004). Fermi-level pinning at the polysilicon/metal oxide interface - part I. Ieee Transactions on Electron Devices, 51, 971–977. https://doi.org/10.1109/TED.2004.829513.

    Article  Google Scholar 

  15. Abdelhamid, H., Anis, A. M., Aboulwafa, M. E., & Eladawy, M. I. (2019). Magnetic field effect on threshold voltage for ultrathin Silicon Gate-All-around Nanowire Field-Effect-transistors. Silicon. https://doi.org/10.1007/s12633-019-0097-0.

    Article  Google Scholar 

  16. Nagy, D., Indalecio, G., Garcia-Loureiro, A. J., Elmessary, M. A., Kalna, K., & Seoane, N. (2018). FinFET versus gate-all-around nanowire FET: Performance, scaling, and variability. IEEE J Electron Devices Soc, 6, 332–340. https://doi.org/10.1109/JEDS.2018.2804383.

    Article  Google Scholar 

  17. Das, R. R., Chowdhury, A., Chakraborty, A., & Maity, S. (2020). Impact of stress effect on triple material gate step-FinFET with DC and AC analysis. Microsystem Technologies, 26, 1813–1821. https://doi.org/10.1007/s00542-019-04727-2.

    Article  Google Scholar 

  18. Gao, H. W., Wang, Y. H., & Chiang, T. K. (2017). A novel localized-trapped-charge-induced threshold voltage model for double-fin multi-channel FETs (DFMcFETs). Ieee Transactions on Device and Materials Reliability, 17, 291–297. https://doi.org/10.1109/TDMR.2017.2669094.

    Article  Google Scholar 

  19. Su, P. H., & Li, Y. (2015). Source/drain series resistance extraction in HKMG multifin bulk FinFET devices. Ieee Transactions on Semiconductor Manufacturing, 28, 193–199. https://doi.org/10.1109/TSM.2015.2411711.

    Article  Google Scholar 

  20. Yu, C. L., Lin, C. H., & Wu, Y. R. (2020). Analysis and optimization of GaN based multi-channels FinFETs. Ieee Transactions on Nanotechnology, 19, 439–445. https://doi.org/10.1109/TNANO.2020.2998840.

    Article  Google Scholar 

  21. Jia, W., Helenbrook, B. T., Cheng, M., & Member, S. (2014). Thermal Modeling of Multi-Fin Field Effect Orthogonal Decomposition, 61 2752–2759.

    Google Scholar 

  22. Yeh, W., Zhang, W., Chen, P., & Yang, Y. (2018). The impact of Fin Number on device performance and reliability for Multi-fin. IEEE Trans Device Mater Reliab PP, 1. https://doi.org/10.1109/TDMR.2018.2866800.

  23. Feng, P., & Ghosh, P. (2012). Design consideration in the development of Multi-fin FETs for RF Applications. World J Nano Sci Eng, 02, 88–91. https://doi.org/10.4236/wjnse.2012.22011.

    Article  Google Scholar 

  24. Chhabra, A., Kumar, A., & Chaujar, R. (2018). High performance Analog Application, 2018 int. Conf. Adv Commun Comput Technol 2018–2021.

  25. Tinoco, J. C., Salas Rodriguez, S., Martinez-Lopez, A. G., Alvarado, J., & Raskin, J. P. (2013). Impact of extrinsic capacitances on FinFet RF performance. IEEE Trans Microw Theory Tech, 61, 833–840. https://doi.org/10.1109/TMTT.2012.2231697.

    Article  Google Scholar 

  26. Biswas, K., Sarkar, A., & Sarkar, C. K. (2018). Fin shape influence on analog and RF performance of junctionless accumulation-mode bulk FinFETs. Microsystem Technologies, 24, 2317–2324. https://doi.org/10.1007/s00542-018-3729-1.

    Article  Google Scholar 

  27. Sharma, R. K., Bucher, M., & Dimitriadis, C. A., Analog / RF performance: Are SOI FinFETs better than DG SOI MOSFETs ?, XX (n.d.) 1–8.

  28. Wu, Y., Member, S., & Su, P. (2010). Impact of Surface Orientation on the sensitivity of FinFETs to process variations — an Assessment based on the Analytical Solution of the Schrödinger equation. Ieee Transactions on Electron Devices, 57, 3312–3317.

    Article  Google Scholar 

  29. Migita, S., Watanabe, Y., Ota, H., Ito, H., Kamimuta, Y., Nabatame, T., & Toriumi, A. (2008). Design and demonstration of very high-k (k∼50) HfO2 for ultra-scaled Si CMOS, Dig. Tech. Pap. - Symp. VLSI Technol. 152–153. https://doi.org/10.1109/VLSIT.2008.4588599.

  30. Nirmal, D., Vijaya Kumar, P., Shruti, K., Jebalin, B. K., & Mohankumar, N. (2014). A review of nanoscale channel and gate engineered FINFETS for VLSI mixed signal applications using zirconium-di-oxide dielectrics. J Eng Sci Technol Rev, 7, 119–124. https://doi.org/10.25103/jestr.072.18.

    Article  Google Scholar 

  31. Rahou, F. Z., Bouazza, A. G., & Bouazza, B., Effects of high-k dielectrics with metal gate for electrical characteristics of SOI TRI-GATE FinFET transistor, J Nano- Electron Phys 8 (2016) 4–7. https://doi.org/10.21272/jnep.8(4(1)).04037.

  32. Orouji, A. A., & Rahimian, M. (2012). Leakage current reduction in nanoscale fully-depleted SOI MOSFETs with modified current mechanism. Current Applied Physics, 12, 1366–1371. https://doi.org/10.1016/j.cap.2012.03.029.

    Article  Google Scholar 

  33. Chattopadhyay, A., Dasgupta, A., Das, R., Kundu, A., & Sarkar, C. K. (2017). Effect of spacer dielectric engineering on asymmetric source underlapped double gate MOSFET using gate stack. Superlattices and Microstructures, 101, 87–95. https://doi.org/10.1016/j.spmi.2016.11.024.

    Article  Google Scholar 

  34. Sharma, R., Rathore, R. S., & Rana, A. K. (2018). Impact of high-k spacer on device performance of nanoscale underlap fully depleted soi mosfet. J Circuits Syst Comput, 27, 1–13. https://doi.org/10.1142/S0218126618500639.

    Article  Google Scholar 

  35. Synopsys Inc (Sentaurus Device User Guide - v.K-2015.06, (2009) 2009).

  36. Raskin, J., Member, S., Chung, T. M., Kilchytska, V., Lederer, D., Flandre, D., & Member, S. (2006). Analog / RF performance of multiple Gate SOI devices: Wideband simulations and characterization, 53 1088–1095.

  37. Datta, E., Chattopadhyay, A., & Mallik, A. (2020). Relative study of Analog Performance, Linearity, and harmonic distortion between Junctionless and Conventional SOI FinFETs at elevated temperatures. Journal of Electronic Materials, 49, 3309–3316. https://doi.org/10.1007/s11664-020-08024-x.

    Article  Google Scholar 

  38. Kumar, B., & Chaujar, R. (2021). TCAD temperature analysis of Gate Stack Gate All around (GS-GAA) FinFET for improved RF and Wireless Performance, Silicon. https://doi.org/10.1007/s12633-021-01040-4.

  39. Li, J. (2017). Radio-frequency integrated circuit design. Lect Notes Electr Eng, 433, 133–145. https://doi.org/10.1007/978-981-10-4235-5_9.

    Article  Google Scholar 

  40. Krstić, D. (1998). RF microelectronics, https://doi.org/10.1016/s0026-2692(98)00059-7.

  41. Ghosh, P., Haldar, S., Gupta, R. S., & Gupta, M. (2012). An investigation of linearity performance and intermodulation distortion of GME CGT MOSFET for RFIC design. Ieee Transactions on Electron Devices, 59, 3263–3268. https://doi.org/10.1109/TED.2012.2219537.

    Article  Google Scholar 

  42. Kumar, A., Gupta, N., Tripathi, S. K., Tripathi, M. M., & Chaujar, R. (2020). Performance evaluation of linearity and intermodulation distortion of nanoscale GaN-SOI FinFET for RFIC design, AEU - int. J Electron Commun, 115, 153052. https://doi.org/10.1016/j.aeue.2019.153052.

    Article  Google Scholar 

  43. Yang, F. L., Lee, D. H., Chen, H. Y., Chang, C. Y., Liu, S. D., Huang, C. C., Chung, T. X., Chen, H. W., Wu, C. C., & Liu, Y. H. (2004). 5nm-gate nanowire FinFET, VLSI Technol. 2004 Dig. Tech. Pap. 2004 Symp. 196–197. https://doi.org/10.1109/VLSIT.2004.1345476.

  44. Vardi, A., & Del Alamo, J. A. (2016). Sub-10-nm fin-width self-aligned InGaAs FinFETs. Ieee Electron Device Letters, 37, 1104–1107. https://doi.org/10.1109/LED.2016.2596764.

    Article  Google Scholar 

  45. Kavalieros, J., Doyle, B., Datta, S., Dewey, G., Doczy, M., Jin, B., Lionberger, D., Metz, M., Rachmady, W., Radosavljevic, M., Shah, U., Zelick, N., & Chau, R. (2006). Tri-gate transistor architecture with high-k gate dielectrics, metal gates and strain engineering, Dig. Tech. Pap. - Symp. VLSI Technol. 00 50–51.

  46. Bin Yu, B. Y., Chang, L. C. L., Ahmed, S., Wang, H. W. H., Bell, S., Yang, C. Y. Y. C. Y., Tabery, C., Ho, C. H. C., Xiang, Q. X. Q., King, T. J. K. T. J., Bokor, J., Hu, C. H. C., M.-R.L.M.-R. Lin, D., & Kyser (2002). FinFET scaling to 10 nm gate length. Dig Int Electron Devices Meet, 251–254. https://doi.org/10.1109/IEDM.2002.1175825.

  47. Choi, Y. K., Lindert, N., Xuan, P., Tang, S., Ha, D., Anderson, E., King, T. J., Bokor, J., & Hu, C. (2001). Sub-20nm CMOS FinFET technologies, tech. Dig. - Int Electron Devices Meet. 421–424.

Download references

Acknowledgements

The authors would like to acknowledge Dr. Suman Kumar Mitra, Assistant professor, Department of Electronics Engineering, HBTU, Kanpur, India, for providing support. The authors also would like to acknowledge the National Institute of Technology, Agartala, India, for logistic support.

Funding

(Not applicable)

The authors declare that there is no funding is provided.

Author information

Authors and Affiliations

Authors

Contributions

Corresponding Credit Author Statement: Rinku Rani Das: Methodology, simulation, paper writing Atanu Chowdhury: Discussions of simulation process Apurba Chakraborty: paper writing and rectification.

Corresponding author

Correspondence to Rinku Rani Das.

Ethics declarations

Ethics approval and consent to participate (Not applicable)

Ensure that all the authors mentioned in the manuscript have agreed for authorship, read and approved the manuscript, and given consent for submission and subsequent publication of the manuscript.

Consent for publication (Not applicable)

I, the undersigned, give my consent for the publication of identifiable details to be published in the above Journal and Article.

Competing interests

The authors declare no competing interests.

Compliance with Ethical Standards

(Not applicable).

Disclosure of potential conflicts of interest (Not applicable)

No potential conflict of interest was reported by the authors.

Research involving human participants and/or animals (not applicable)

This article does not contain any studies with human participants or animals performed by any of the authors.

Consent informed (Not applicable)

Additional informed consent was obtained from all individual participants for whom identifying information is included in this article.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Das, R.R., Chowdhury, A. & Chakraborty, A. Parametric analysis on DC and analog/linearity response of multi-channel FinFET (Mch-FinFET) with spacer engineering. Analog Integr Circ Sig Process 119, 1–13 (2024). https://doi.org/10.1007/s10470-023-02209-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-023-02209-0

Keywords

Navigation