Skip to main content
Log in

A review of soft errors and the low α-solder bumping process in 3-D packaging technology

  • Review
  • Published:
Journal of Materials Science Aims and scope Submit manuscript

Abstract

This study reviews soft errors in modern electronic assemblies, through silicon via (TSV), and low α-solder bumping techniques for 3-D microelectronic packaging. The TSV fabrication involves deep reactive ion-etching process of Si wafers to form vertical holes, which are further filled with copper and joined to solder bumps. The solder bumps in close proximity to Si die thus impose a serious threat of soft errors. These soft errors responsible for the malfunction of electronic systems have become a critical issue in miniaturized and high-density packaging, like 3-D packaging. Various low α-solder bumping techniques have been reported to minimize these errors in modern microelectronic devices. A low α-solder is one that has low levels of α-particle emission, as compared to the conventional solder. In addition, it improves the performance and reliability of the solder joints, prompting the need to adopt low α-solder for bumping in TSV packaging. Thus, this paper discusses the various aspects of TSV fabrication, functional layer deposition, Cu filling into TSV, and low α-solder bumping on TSV by solder ball reflow methods.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12
Figure 13
Figure 14
Figure 15

Similar content being viewed by others

References

  1. Jun JH, Kim IR, Jung JP et al (2010) A new non-PRM bumping process by electroplating on Si die for three dimensional packaging. Mater Trans 51:1887–1892

    Article  Google Scholar 

  2. Kumar S, Jung DH, Jung JP (2013) High-speed shear test for low α- Sn-1.0%Ag-0.5%Cu (SAC-105) solder ball of sub-100-μm dimension for wafer level packaging. IEEE Trans Compon Pack A3:441–451

    Google Scholar 

  3. Baumann RC (2005) Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans Dev Mater Reliab 5:305–316

    Article  Google Scholar 

  4. Ziegler JF, Curtis HW, Muhlfeld HP et al (1996) IBM experiments in soft fails in computer electronics (1978–1994). IBM J Res Dev 40(1):3–18

    Article  Google Scholar 

  5. Kumar S, Agarwal S, Jung JP (2013) Soft error issue and importance of low α- solders for microelectronics packaging. Rev Adv Mater Sci 34:185–202

    Google Scholar 

  6. Gordon MS, Rodbell KP, Tang HHK et al (2012) Ultra low emissivity α- particle detection. IEEE Trans Nucl Sci 59(6):3101–3109

    Article  Google Scholar 

  7. Sharma A, Kumar S, Jung DH, Jung JP (2017) Effect of high temperature high humidity and thermal shock test on interfacial intermetallic compounds (IMCs) growth of low α- solders. J Mater Sci: Mater Electron. doi:10.1007/s10854-017-6518-1

    Google Scholar 

  8. ISO 9453:2014, Soft solder alloys—chemical compositions and forms, Standard by International Organization for Standardization, 09/01/2014

  9. JIS Z 3282:2006, Soft solders—chemical compositions and forms, Standard by Japanese Industrial Standard/Japanese Standards Association, 01/01/2006

  10. Autran JL, Munteanu D (2015) Soft errors: from particles to circuits, 1st edn. CRC Press, New York

    Google Scholar 

  11. Gordon MS, Rodbell KP, Murray CE, McNally BD (2016) Measurements of radioactive contaminants in semiconductor materials. Semicond Sci Technol 31:123003

    Article  Google Scholar 

  12. Yu KN, Yip CWY, Nikezic D, Ho JPY, Koo VSY (2003) Comparison among alpha-particle energy losses in air obtained from data of SRIM, ICRU and experiments. Appl Radiat Isot 59:363–366

    Article  Google Scholar 

  13. Claeys CL, Simoen E (2002) Radiation effects in advanced semiconductor materials and devices: materials science, 1st edn. Springer, Berlin

    Book  Google Scholar 

  14. Kumar S, Jung DH, Jung JP (2012) Wetting behavior and elastic properties of low alpha SAC105 and pure Sn solder. J Mater Sci: Mater Electron 24:1748–1757

    Google Scholar 

  15. Ziegler JF, Lanford WA (1981) The effect of sea level cosmic rays on electronic devices. J Appl Phys 52:4305–4312

    Article  Google Scholar 

  16. Sharma A, Jung DH, Roh MH et al (2016) Fabrication and shear strength analysis of Sn-3.5Ag/Cu-filled TSV for 3D microelectronic packaging. Electron Mater Lett 12:856–863

    Article  Google Scholar 

  17. Fursenko O, Bauer J, Marschmeyer S (2014) In-line through silicon vias etching depths inspection by spectroscopic reflectometry. Microelectron Eng 122:25–28

    Article  Google Scholar 

  18. Shen SP, Chen WH, Chang WC et al (2013) Copper seed layer repair using an electroplating process for through silicon via metallization. Microelectron Eng 105:25–30

    Article  Google Scholar 

  19. Knaut M, Junige M, Bartha JW et al (2013) Atomic layer deposition for high aspect ratio through silicon vias. Microelectron Eng 107:80–83

    Article  Google Scholar 

  20. Hong SJ, Lee YW, Jung JP et al (2006) Filling via hole in Si-wafer for 3 dimensional packaging. Proc Korean Weld Join Soc 46:227–229

    Google Scholar 

  21. Tang CW, Li KM, Young HT et al (2013) Improving the dielectric breakdown field of silicon light-emitting-diode sub-mount by a hybrid nanosecond laser drilling strategy. Microelectron Reliab 53:420–427

    Article  Google Scholar 

  22. Seo W, Park JH, Kim GS et al (2009) Via cleaning process for laser TSV process. J Microelectron Pack Soc 16:45–50

    Google Scholar 

  23. Dequivre T, Alam EA, Charlebois SA et al (2016) Electrografted P4VP for high aspect ratio copper TSV insulation in via-last process flow. AIP Conf Proc 5:340–344

    Google Scholar 

  24. Ohta K, Hirate A, Shingubara S et al. (2015) All-wet TSV filling with highly adhesive displacement plated Cu seed layer. In: Proceedings IEEE International 3D system integration conference pp 841–843

  25. Kenji T, Hiroshi T, Manabu B et al (2001) Current status of research and development for three-dimensional chip stack technology. J Appl Phys 40:3032–3037

    Article  Google Scholar 

  26. Lee R, Hon R, Wong CK (2005) 3D stacked flip chip packaging with through silicon vias and copper plating or conductive adhesive filling. In: Proceedings 55th electronic packing technology conference pp 795–801

  27. Chuang HC, Lai WH, Yeh CK et al. (2014) Fabrication of through-silicon vias (TSV) by nickel electroplating in supercritical CO2. In: Proceedings 9th IEEE international conference nano/micro engineering molecular system pp 108–112

  28. Sage S, John P, Bottcher M et al (2013) Investigation of different methods for isolation in through silicon via for 3D integration. Microelectron Eng 107:61–64

    Article  Google Scholar 

  29. Marro J, Okoro C, Richardson K et al (2014) Defect and microstructural evolution in thermally cycled Cu through-silicon vias. Microelectron Reliab 54:2586–2593

    Article  Google Scholar 

  30. Li Y, Croes K, Beyne E et al. (2014) Impact of Cu TSVs on BEOL metal and dielectric reliability. In: Reliability physics symposium, 2014 IEEE international, vol 73, pp 3E11–3E15

  31. Ding YT, Yan YY, Chen YY et al (2014) Investigation on mechanism of polymer filling in high-aspect-ratio trenches for through-silicon-via (TSV) application. Sci China Tech Sci 57:1616–1625

    Article  Google Scholar 

  32. Ranganathan N, Lee DY, Youhe L, Lo GQ, Prasad K, Pey KL (2011) Influence of Bosch etch process on electrical isolation of TSV structures. IEEE Trans Compon Pack 1(10):1497–1507

    Google Scholar 

  33. Singulani AP, Ceric H, Selberherr S (2013) Stress evolution in the metal layers of TSVs with Bosch scallops. Microelectron Reliab 53(9):1602–1605

    Article  Google Scholar 

  34. Choi KK, Park CG, Kim DK (2016) Electrical characteristics and step coverage of ZrO2 films deposited by atomic layer deposition for through-silicon via and metal–insulator–metal applications. Appl Phys 55:016502–1–016502-6

    Google Scholar 

  35. Choi KK, Kee J, Kim DK et al (2014) Filling performance and electrical characteristics of Al2O3 films deposited by atomic layer deposition for through-silicon via applications. Thin Solid Films 556:560–565

    Article  Google Scholar 

  36. Huang C, Chen Q, Yang Z (2013) Polymer liner formation in high aspect ratio through-silicon-vias for 3-D integration. IEEE Trans Compon Pack Manuf Technol 3:1107–1113

    Article  Google Scholar 

  37. Kim BH, Kim HC, Tak YS et al (2004) Cantilever-type microelectromechanical systems probe card with through-wafer interconnects for fine pitch and high-speed testing. Appl Phys 43:3877–3881

    Google Scholar 

  38. Inoue F, Philipsen H, Shingubara S et al (2013) Electroless Cu deposition on atomic layer deposited Ru as novel seed formation process in through-Si vias. Electrochim Acta 100:203–211

    Article  Google Scholar 

  39. Lee H, Choi M, Kim Y et al (2013) Characteristic of through silicon via’s seed layer deposition and via filling. Korean J Mater Res 23:550–554

    Article  Google Scholar 

  40. Wei T, Cai J, Wu Z (2014) Optimization and evaluation of sputtering barrier/seed layer in through silicon via for 3-D integration. Tsinghua Sci Technol 19:150–160

    Article  Google Scholar 

  41. Ding Y, Yan Y, Dang H et al (2014) Analytical solution on interfacial reliability of 3-D through-silicon-via (TSV) containing dielectric liner. Microelectron Reliab 54:1384–1391

    Article  Google Scholar 

  42. Feng W, Watanabe N, Aoyagi M et al. (2015) Methods to reduce thermal stress for TSV scaling ~ TSV with novel structure: annular-trench-isolated TSV. In: Electronic Components Technology Conference Proceedings IEEE 65th pp 1057–1062

  43. Feng W, Bui TT, Kikuchi K et al (2016) Fabrication and stress analysis of annular-trench-isolated TSV. Microelectron Reliab 63:142–147

    Article  Google Scholar 

  44. Yamamoto S, Itoi K, Takizawa T et al. (2003) Si through-hole interconnections filled with Au–Sn solder by molten metal suction method. In: Proceedings 16th IEEE international conferene pp 642–645

  45. Baek KH, Kim DP, Do LM et al (2011) Conformal deposition of an insulator layer and Ag nano paste filling of a through silicon via for a 3D interconnection. J Korean Phys Soc 59:2252–2258

    Article  Google Scholar 

  46. Sharma A, Jang YJ, Jung JP (2015) Effect of current density on morphology of electroplated tin. Surf Eng 31(6):458–464

    Article  Google Scholar 

  47. Sharma A, Bhattacharya S, Das S, Das K (2014) A study on the effect of pulse electrodeposition parameters on the morphology of pure tin coatings. Metall Mater Trans A 45A:4610–4622

    Article  Google Scholar 

  48. Sharma A, Das S, Das K (2017) Effect of different electrolytes on the microstructure, corrosion and whisker growth of pulse plated tin coatings. Microelectron Eng 170:59–68

    Article  Google Scholar 

  49. Sharma A, Das A, Fecht HJ, Das S (2014) Effect of various additives on morphological and structural characteristics of pulse electrodeposited tin coatings from stannous sulfate electrolyte. Appl Surf Sci 314:516–522

    Article  Google Scholar 

  50. Sharma A, Das S, Das K (2015) Pulse electroplating of ultrafine grained tin coating. In: Aliofkhazraei M (eds) Electroplating of nanostructures. InTech, InTechopen, DOI:10.5772/61255. http://www.intechopen.com

  51. Sharma A, Das S, Das K (2016) Pulse electrodeposition of lead-free tin-based composites for microelectronic packaging. In: Mohamed Adel MA, Golden TD (eds) Electrodeposition of composite materials. InTech, InTechopen, DOI: 10.5772/62036. http://www.intechopen.com

  52. Sharma A, Bhattacharya S, Sen R et al (2012) Influence of current density on microstructure of pulse electrodeposited tin coatings. Mater Charact 68:22–32

    Article  Google Scholar 

  53. Lee C, Tsuru S, Matsumura M et al (2009) Formation of 100 μm deep vertical pores in Si wafers by wet etching and Cu electrodeposition. J Electrochem Soc 156:D543–D547

    Article  Google Scholar 

  54. Hayashi T, Kondo K, Okamoto N et al (2011) High-speed through silicon via (TSV) filling using Diallylamine additive. J Electrochem Soc 158:D715–D718

    Article  Google Scholar 

  55. Li HY, Liao E, Sun JY et al. (2010) Fast electroplating TSV process development for the via-last approach. In: Proceedings 60th electronic Components technology conference IEEE components pp 777–780

  56. Banha H, Funabashi A, Kondo F (2015) High speed TSV filling. In: Proceedings 24th Micro Electron Sympo pp 53–56

  57. Gomez L, Scalisi M, Calvert J et al (2015) Cu electroplating chemistry and process enabling rapid TSV filling with long bath life. J Microelec Electron Pack 2015:510–517

    Google Scholar 

  58. Pohjoranta A, Tenno R (2007) A method for microvia-fill process modeling in a Cu plating system with additives. J Electrochem Soc 154:D502–D509

    Article  Google Scholar 

  59. Kim IR, Hong HC, Jung JP (2011) High speed Cu filling into tapered TSV for 3-dimensional Si chip stacking. J Met Mater 49:388–394

    Google Scholar 

  60. Kim IR, Park JK, Jung JP et al (2010) High speed Cu filling into TSV by pulsed current for 3 dimensional chip stacking. J Met Mater 48:667–673

    Google Scholar 

  61. Hong HC, Lee WG, Jung JP et al (2011) Reduction of defects in TSV filled with Cu by high-speed 3-step PPR for 3D Si chip stacking. Microelectron Reliab 51:2228–2235

    Article  Google Scholar 

  62. Hong HC, Jung DH, Jung JP et al (2012) Effective Cu filling method to TSV for 3-dimensional Si chip stacking. J Korean Inst Met Mater 50:152–158

    Article  Google Scholar 

  63. Kim MJ, Seo YR, Kim JJ et al (2015) Galvanostatic bottom-up filling of TSV-like trenches: choline-based leveler containing two quaternary ammoniums. Electrochim Acta 163:174–181

    Article  Google Scholar 

  64. Kim MJ, Kim HC, Kim JJ (2016) The influences of iodide ion on Cu electrodeposition and TSV filling. J Electrochem Soc 163:D434–D441

    Article  Google Scholar 

  65. Zhu Y, Luo W, Gao L et al. (2015) Influence of electroplating current density on through silicon via filling. In: Proceedings 16th international conference on electronic packaging technology pp 153–157

  66. Chuang HC, Sanchez J, Huang CC et al. (2015) Fabrication of through-silicon-via (TSV) by copper electroplated in an electrolyte mixed with supercritical carbon dioxide. In: Proceedings IEEE transactions pp 464–467

  67. Si C, Fei Q, Huiping Y et al. (2015) Effect of electroplating parameter on the TSV-Cu protrusion during annealing and thermal cycling. In: Proceedings IEEE 16th international conference on electronic packaging technology pp 875–878

  68. Lee SJ, Jang YJ, Jung JP et al (2014) Cu-filling behavior in TSV with positions in wafer level. J Microelectron Pack Soc 21:91–96

    Article  Google Scholar 

  69. Khorramdel B, Mantysalo M (2016) Fabrication and electrical characterization of partially metallized vias fabricated by inkjet. J Micromech Microeng 26:1–6

    Article  Google Scholar 

  70. Roh MH, Sharma A, Jung JP et al (2015) Extrusion suppression of TSV filling metal by Cu–W electroplating for three-dimensional microelectronic packaging. Metall Mater Trans 46A:2051–2062

    Article  Google Scholar 

  71. Hong HC, Kim WJ, Jung JP (2011) High-speed Cu filling into TSV and non-PR bumping for 3D chip packaging. J Microelec Pack Soc 18:1–5

    Google Scholar 

  72. Hong SJ, Jun JH, Mayer M et al (2010) Sn bumping without photoresist mould and Si dice stacking for 3-D packaging. IEEE Trans on Adv Pack 33:912–917

    Article  Google Scholar 

  73. Jun JH, Lee WG, Zhou N et al (2012) Characteristics of electroplated Sn bumps fabricated without a PR mould on a Si chip for 3D packaging. Microelectron Eng 93:85–90

    Article  Google Scholar 

  74. Miller LF (1969) Controlled collapse reflow chip joining. IBM J Res Dev 13:239–250

    Article  Google Scholar 

  75. Kripesh V, Wong K, Iyer M (2003) Ultra-fine pitch Pb-free & eutectic solder bumping with fine particle size solder paste for nano packaging. In: 5th Electronics packaging technology conference, vol 10, pp 732–737

  76. Gan H, Wright S, Lee KW et al. (2006) Ph-free micro joints (50 μm pitch) for the next generation micro-systems: the fabrication, assembly and characterization. In: 56th Electronic components technology conference vol 30, pp 1210–1215

  77. Dang B, Shih DY, Hughlett E et al. (2008) 50 μm pitch Pb-free micro-bumps by C4NP technology. In: 58th Electronic components technology conference vol 27, pp 1505–1510

  78. Kumar S, Mallik S, Jung JP et al (2013) Stencil printing behavior of lead-free Sn-3Ag-0.5Cu solder paste for wafer level bumping for sub-100 μm size solder bumps. Met Mater Int 19:1083–1090

    Article  Google Scholar 

  79. Ebersberger B, Lee C (2008) Cu pillar bumps as a lead-free drop-in replacement for solder-bumped, flip-chip interconnects. In: 58th Electronics Electronic components technology conference vol 27, pp 59–66

  80. Lee CH (2009) Interconnection with copper pillar bumps: process and applications. IEEE Int Interconnect Technol Conf 1:214–216

    Google Scholar 

  81. Lee JS, Fernandez DM, Gao S et al (2012) Electroless Ni plating to compensate for bump height variation in Cu–Cu 3-D packaging. IEEE Trans Compon Packag Manuf Technol 2:964–970

    Article  Google Scholar 

  82. Dixit P, Tan CW, Preisser R et al (2007) Fabrication and characterization of fine pitch on-chip copper interconnects for advanced wafer level packaging by a high aspect ratio through AZ9260 resist electroplating. J Micromech Microeng 17:1078–1086

    Article  Google Scholar 

  83. Jun JH, Kim IR, Zhou N et al (2011) New process of electroplate Sn bumping on TSV without a PR mould for 3D-chip stacking. Met Mater Int 17:631–635

    Article  Google Scholar 

  84. Sung KJ, Choi KS, Eom YS et al (2012) Novel bumping and underfill technologies for 3D IC integration. ETRI J 34:706–712

    Article  Google Scholar 

  85. Sung KJ, Choi KS, Eom YS et al. (2010) Solder bump maker with coining process on TSV chips for 3D packages. In: 11th International conference electronic packaging technology high density packaging vol 16, pp 185–189

  86. Choi KS, Bae HE, Eom YS et al (2013) Novel bumping process for solder on pad technology. ETRI J 35:340–343

    Article  Google Scholar 

  87. Jung DH, Sharma A, Jung JP et al (2015) Effect of current density and plating time on Cu electroplating in TSV and low α- solder bumping. J Mater Eng Perform 24:1107–1115

    Article  Google Scholar 

  88. Jung DH, Agarwal S, Jung JP et al (2015) High shear speed characteristics of sub-100 μm low α- SAC105 solder bump directly fabricated on Cu filled through Si via for 3D integration. J Microelec Electron Pack 12:161–169

    Article  Google Scholar 

  89. Jung DH, Lee WG, Jung JP (2011) Effect of shearing speed and UBMs on high speed shear properties of Sn3.0Ag0.5Cu solder ball. J. Korean Inst Met Mater 49:635–641

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported by the 2016 Research Fund of the University of Seoul.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to J. P. Jung.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jung, D.H., Sharma, A. & Jung, J.P. A review of soft errors and the low α-solder bumping process in 3-D packaging technology. J Mater Sci 53, 47–65 (2018). https://doi.org/10.1007/s10853-017-1421-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10853-017-1421-y

Keywords

Navigation