Skip to main content

Advertisement

Log in

A Transient-Enhanced Low-Power Standard-Cell-Based Digital LDO

  • Research Article-Electrical Engineering
  • Published:
Arabian Journal for Science and Engineering Aims and scope Submit manuscript

Abstract

In this article, a transient-enhanced fully synthesizable digital low dropout regulator (FS-DLDO) is proposed for ultra-low-power applications. The FS-DLDO uses a fully synthesizable comparator (FS-Com) to sense load variations. A digital logic controller (D-CTRL) tunes the output voltage (VO) through a quad-loop architecture. The quad-loop architecture uses short bidirectional shift registers (BSR) to achieve fast-transient response and reduce leakage current. In addition, the FS-DLDO supports freeze-mode to regulate a ripple-free VO and minimize power consumption at a steady state. To demonstrate this entire design using standard-cells, the P-MOSFET array (PTA) used in traditional digital low dropout regulator (DLDO) is replaced with an array of three-state buffers (TSA). The layout is created using digital design flow in TSMC CMOS 45 nm process, which occupies a 6708 µm2 area. For a power supply (VSUP) range of 0.5–1 V, the FS-DLDO can provide regulated VO with a 50 mV dropout voltage. At VSUP = 500 mV and clock frequency (fCLK) of 10 MHz, the proposed regulator achieves a transient response time of 0.91 µs. This prototype achieves a peak current efficiency of 99.90% and produces a ripple-free VO at a steady state.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

Abbreviations

A-LDO:

Analog low dropout regulator

BSR:

Bidirectional shift register

CMV:

Common-mode voltage

CT:

Coarse-tuning

D-LDO:

Digital low dropout regulator

D-CTRL:

Digital logic controller

f CLK :

Clock frequency

FOM:

Figure of merit

FS-Com:

Fully synthesizable comparator

FS-DLDO:

Fully synthesizable D-LDO

FT:

Fine-tuning

I MAX :

Maximum load current

I OUT :

Load current

I Q :

Quiescent current

MT:

Medium-tuning

NAND-Com:

NAND-based comparator

NOR-Com:

NOR-based comparator

OUD:

Overshoot/undershoot detector

PTA:

P-MOSFET array

PnR:

Place-and-Route

QT:

Quivering

QTU:

Quivering control unit

TCU:

Tuning control unit

TSA:

Three-state buffer array

V O :

Output voltage

V R :

Reference voltage

V RES :

Voltage step resolution

V SUP :

Supply voltage

VtINV :

Switching threshold of INV cell

References

  1. Nasir, S. bin, Raychowdhury, A.: Embedded hybrid LDO topologies for digital load circuits. In: 2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016 (2017)

  2. Zhang, H.; Wan, P.; Geng, J.; Liu, Z.; Chen, Z.: A fast transient response digital LDO with a TDC-based signal converter. Electronics (2020). https://doi.org/10.3390/electronics9010132

    Article  Google Scholar 

  3. Carreon-Bautista, S.; Huang, L.; Sanchez-Sinencio, E.: An Autonomous Energy Harvesting Power Management Unit with Digital Regulation for IoT Applications. IEEE J. Solid-State Circ (2016). https://doi.org/10.1109/JSSC.2016.2545709

    Article  Google Scholar 

  4. George, A.M.; Y Kulkarni, S.: Performance of Power Converters for Ultra Low Power Systems: A Review. In: Proceedings of 2018 2nd International Conference on Advances in Electronics, Computers and Communications, ICAECC 2018 (2018)

  5. Yang, F.; Mok, P.K.T.: A 0.6–1V input capacitor-less asynchronous digital LDO with fast transient response achieving 9.5b over 500mA loading range in 65-nm CMOS. In: European Solid-State Circuits Conference (2015)

  6. Polineni, S.; Bhat, M.S.; Rajan, A.: A 10-Bit Differential Ultra-Low-Power SAR ADC with an Enhanced MSB Capacitor-Split Switching Technique. Arab. J. Sci. Eng (2019). https://doi.org/10.1007/s13369-018-3478-6

    Article  Google Scholar 

  7. Kim, S.; Chou, P.H.: Size and topology optimization for supercapacitor-based sub-Watt energy harvesters. IEEE Trans. Power Electron. (2013). https://doi.org/10.1109/TPEL.2012.2203147

    Article  Google Scholar 

  8. Gupta, S.K.; Raychowdhury, A.; Roy, K.: Digital computation in subthreshold region for ultralow-power operation: A device-circuit-architecture codesign perspective. Proc. IEEE (2010). https://doi.org/10.1109/JPROC.2009.2035060

    Article  Google Scholar 

  9. Bahrepour, D.; Sharifi, M.J.: A Novel High Speed Full Adder Based on Linear Threshold Gate and its Application to a 4–2 Compressor. Arab. J. Sci. Eng. (2013). https://doi.org/10.1007/s13369-013-0615-0

    Article  Google Scholar 

  10. Lee, Y.J.; Qu, W.; Singh, S.; Kim, D.Y.; Kim, K.H.; Kim, S.H.; Park, J.J.; Cho, G.H.: A 200-mA digital low drop-out regulator with coarse-fine dual loop in mobile application processor. IEEE J. Solid-State Circ. (2017). https://doi.org/10.1109/JSSC.2016.2614308

    Article  Google Scholar 

  11. Gangopadhyay, S.; Somasekhar, D.; Tschanz, J.W.; Raychowdhury, A.: A 32 nm embedded, fully-digital, phase-locked low dropout regulator for fine grained power management in digital circuits. IEEE J. Solid-State Circ. (2014). https://doi.org/10.1109/JSSC.2014.2353798

    Article  Google Scholar 

  12. Kim, S.J.; Kim, D.; Ham, H.; Kim, J.; Seok, M.: A 67.1-ps FOM, 0.5-V-hybrid digital LDO with asynchronous feedforward control via slope detection and synchronous PI with state-based hysteresis clock switching. IEEE Solid-State Circ. Lett. (2018). https://doi.org/10.1109/LSSC.2018.2875828

    Article  Google Scholar 

  13. Ahmed, K.Z.; Mukhopadhyay, S.: A wide conversion ratio, extended input 3.5-μA boost regulator with 82% efficiency for low-voltage energy harvesting. IEEE Trans. Power Electron. (2014). https://doi.org/10.1109/TPEL.2013.2287194

    Article  Google Scholar 

  14. Milliken, R.J.; Silva-Martínez, J.; Sánchez-Sinencio, E.: Full on-chip CMOS low-dropout voltage regulator. IEEE Trans. Circ. Syst. I: Regular Papers (2007). https://doi.org/10.1109/TCSI.2007.902615

    Article  Google Scholar 

  15. Hong, S.W.; Cho, G.H.: High-gain wide-bandwidth capacitor-less low-dropout regulator (LDO) for mobile applications utilizing frequency response of multiple feedback loops. IEEE Trans. Circ. Syst. I: Regular Papers (2016). https://doi.org/10.1109/TCSI.2015.2512702

    Article  Google Scholar 

  16. Lin, C.H.; Chen, K.H.; Huang, H.W.: Low-dropout regulators with adaptive reference control and dynamic push-pull techniques for enhancing transient performance. IEEE Trans. Power Electron. (2009). https://doi.org/10.1109/TPEL.2008.2007957

    Article  Google Scholar 

  17. Khan, D.; Abbasizadeh, H.; Khan, Z.H.N.; Park, Y.J.; Lee, K.Y.: Design of a capacitor-less LDO with high PSRR for RF energy harvesting applications. In: Proceedings - International SoC Design Conference 2017, ISOCC 2017 (2018)

  18. Onouchi, M.; Otsuga, K.; Igarashi, Y.; Ikeya, T.; Morita, S.; Ishibashi, K.; Yanagisawa, K.: A 1.39-V input fast-transient-response digital LDO composed of low-voltage MOS transistors in 40-nm CMOS process. In: 2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011 (2011)

  19. Kundu, S.; Liu, M.; Wen, S.J.; Wong, R.; Kim, C.H.: A fully integrated digital LDO with built-in adaptive sampling and active voltage positioning using a beat-frequency quantizer. IEEE J. Solid-State Circ. (2019). https://doi.org/10.1109/JSSC.2018.2870558

    Article  Google Scholar 

  20. Huang, M.; Lu, Y.; Seng-Pan, U.; Martins, R.P.: An analog-assisted tri-loop digital low-dropout regulator. IEEE J. Solid-State Circ. (2018). https://doi.org/10.1109/JSSC.2017.2751512

    Article  Google Scholar 

  21. Zhao, L.; Lu, Y.; Martins, R.P.: A digital LDO with Co-SA logics and TSPC dynamic latches for fast transient response. IEEE Solid-State Circ. Lett. (2018). https://doi.org/10.1109/LSSC.2018.2885217

    Article  Google Scholar 

  22. Lee, Y.H.; Peng, S.Y.; Chiu, C.C.; Wu, A.C.H.; Chen, K.H.; Lin, Y.H.; Wang, S.W.; Tsai, T.Y.; Huang, C.C.; Lee, C.C.: A low quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40 nm SoC for MIPS performance improvement. IEEE J. Solid-State Circ. (2013). https://doi.org/10.1109/JSSC.2013.2237991

    Article  Google Scholar 

  23. Li, Y.; Mao, W.; Zhang, Z.; Lian, Y.: An ultra-low voltage comparator with improved comparison time and reduced offset voltage. In: IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS (2015)

  24. Kim, J.; Leibowitz, B.S.; Ren, J.; Madden, C.J.: Simulation and analysis of random decision errors in clocked comparators. In: IEEE Transactions on Circuits and Systems I: Regular Papers (2009)

  25. Nikoozadeh, A.; Murmann, B.: An analysis of latch comparator offset due to load capacitor mismatch. IEEE Trans. Circ. Syst. II: Express Briefs (2006). https://doi.org/10.1109/TCSII.2006.883204

    Article  Google Scholar 

  26. Huang, M.; Lu, Y.; Sin, S.W.; Seng-Pan, U.; Martins, R.P.: A fully integrated digital LDO with coarse-fine-tuning and burst-mode operation. IEEE Trans. Circ. Syst. II: Express Briefs (2016). https://doi.org/10.1109/TCSII.2016.2530094

    Article  Google Scholar 

  27. Nasir, S.B.; Gangopadhyay, S.; Raychowdhury, A.: A 0.13μm fully digital low-dropout regulator with adaptive control and reduced dynamic stability for ultra-wide dynamic range. In: Digest of Technical Papers—IEEE International Solid-State Circuits Conference (2015)

  28. Kim, D.; Seok, M.: Fully integrated low-drop-out regulator based on event-driven PI control. In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. pp. 148–149. Institute of Electrical and Electronics Engineers Inc. (2016)

  29. Yang, F.; Mok, P.K.T.: A 65nm inverter-based low-dropout regulator with rail-to-rail regulation and over -20dB PSR at 0.2V lowest supply voltage. In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. pp. 106–107. Institute of Electrical and Electronics Engineers Inc. (2017)

  30. Nasir, S.B.; Gangopadhyay, S.; Raychowdhury, A.: All-digital low-dropout regulator with adaptive control and reduced dynamic stability for digital load circuits. IEEE Trans. Power Electron. (2016). https://doi.org/10.1109/TPEL.2016.2519446

    Article  Google Scholar 

  31. Ahmed, K.Z.; Krishnamurthy, H.K.; Augustine, C.; Liu, X.; Weng, S.; Ravichandran, K.; Tschanz, J.W.; De, V.: A Variation-Adaptive Integrated Computational Digital LDO in 22-nm CMOS with Fast Transient Response. IEEE J. Solid-State Circ. (2020). https://doi.org/10.1109/JSSC.2019.2961854

    Article  Google Scholar 

Download references

Acknowledgements

The authors would like to thank Thapar Institute of Engineering & Technology (TIET) for providing the laboratory facilities to conduct the proposed research. The authors also wish to thank Ministry of Electronics & Information Technology (MEITY), Government of India, for funding the research through SMDP-C2SD project.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Alpana Agarwal.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sood, L., Agarwal, A. A Transient-Enhanced Low-Power Standard-Cell-Based Digital LDO. Arab J Sci Eng 47, 13943–13953 (2022). https://doi.org/10.1007/s13369-022-06592-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13369-022-06592-0

Keywords

Navigation