Skip to main content
Log in

Geometrical Variability Impact on the Performance of Sub - 3 nm Gate-All-Around Stacked Nanosheet FET

  • Original Paper
  • Published:
Silicon Aims and scope Submit manuscript

Abstract

To meet the scaling targets and continue with Moore’s Law, the transition from FinFET to Gate-All-Around (GAA) nanosheet Field Effect Transistors (FETs) is the necessity for low-power to high-performance applications in upcoming nodes. In this work, we benchmark nanosheet FET against FinFET of comparable dimensions. Due to increased effective width, stacked nanosheet FET offers improved DC and analog/RF performance over multi-fin FinFET. The impact of geometrical parameter variations on the performance of stacked Si nanosheet FET is investigated for sub-3 nm technology node. We have considered nanosheet thickness (NST), nanosheet width (NSW), number of channels and oxide thickness (tox) as the sources of variability. The simulation carried out on Genius TCAD device simulator reports that higher NST, higher NSW, more number of channels and thinner oxide results in improved device driving capability, ON-current (Ion), transconductance (gm), intrinsic delay and cut-off frequency (ft). OFF-current (Ioff), ON-current to OFF-current ratio (Ion/Ioff), immunity against short channel effects (SCEs) and gate capacitance (Cgg) can be improved using lower NST, lower NSW, lesser number of channels and thinner oxide. However, threshold voltage (Vth) roll-off is more pronounced for thinner and narrower sheets with a thin oxide.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Yeap G, Lin SS, Chen YM, Shang HL, Wang PW, Lin HC, Peng YC, Sheu JY, Wang M, Chen X, Yang BR, Lin CP, Yang FC, Leung YK, Lin DW, Chen CP, Yu KF, Chen DH, Chang CY, Chen HK, Hung P, Hou CS, Cheng YK, Chang J, Yuan L, Lin CK, Chen CC, Yeo YC, Tsai MH, Lin HT, Chui CO, Huang KB, Chang W, Lin HJ, Chen KW, Chen R, Sun SH, Fu Q, Yang HT, Chiang HT, Yeh CC, Lee TL, Wang CH, Shue SL, Wu CW, Lu R, Lin WR, Wu J, Lai F, Wu YH, Tien BZ, Huang YC, Lu LC, He J, Ku Y, Lin J, Cao M, Chang TS, Jang SM (2019) 5nm CMOS production technology platform featuring full-fledged EUV, and high mobility channel FinFETs with densest 0.021 um2 SRAM cells for mobile SoC and high performance computing applications. IEEE Int Electron Devices Meeting (IEDM), Section 36.7. https://doi.org/10.1109/IEDM19573.2019.8993577

  2. Yeung CW, Zhang J, Chao R, Kwon O, Vega R, Tsutsui G, Miao X, Zhang C, Sohn CW, Moon BK, Razavieh A, Frougier J, Greene A, Galatage R, Li J, Wang M, Loubet N, Robison R, Basker V, Yamashita T, Guo D (2018) Channel geometry impact and narrow sheet effect of stacked nanosheet. IEEE Int Electron Devices Meeting (IEDM):28.6.1–28.6.4. https://doi.org/10.1109/IEDM.2018.8614608

  3. Loubet N, Hook T, Montanini P, Yeung CW, Kanakasabapathy S, Guillom M, Yamashita T, Zhang J, Miao X, Wang J, Young A, Chao R, Kang M, Liu Z, Fan S, Hamieh B, Sieg S, Mignot Y, Xu W, Seo SC, Yoo J, Mochizuki S, Sankarapandian M, Kwon O, Carr A, Greene A, Park Y, Frougier J, Galatage R, Bao R, Shearer J, Conti R, Song H, Lee D, Kong D, Xu Y, Arceo A, Bi Z, Xu P, Muthinti R, Li J, Wong R, Brown D, Oldiges P, Robison R, Arnold J, Felix N, Skordas S, Gaudiello J, Standaert T, Jagannathan H, Corliss D, Na MH, Knorr A, Wu T, Gupta D, Lian S, Divakaruni R, Gow T, Labelle C, Lee S, Paruchuri V, Bu H, Khare M (2017) Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. Proc IEEE Symp VLSI Technol:230–231. https://doi.org/10.23919/VLSIT.2017.7998183

  4. Yakimets D, Bardon MG, Jang D, Schuddinck P, Sherazi Y, Weckx P, Miyaguchi K, Parvais B, Raghavan P, Spessot A, Verkest D, Mocuta A (2017) Power aware FinFET and lateral nanosheet FET targeting for 3nm CMOS technology. IEEE Int Electron Devices Meeting (IEDM):20.4.1–20.4.4. https://doi.org/10.1109/IEDM.2017.8268429

  5. Nagy D, Espineira G, Indalecio G, Loureiro AJ, Kalna K, Seoane N (2020) Benchmarking of FinFET, nanosheet, and nanowire FET architectures for future technology nodes. IEEE Access 8:53196–53202. https://doi.org/10.1109/ACCESS.2020.2980925

    Article  Google Scholar 

  6. Bae G, Bae DI, Kang M, Hwang SM, Kim SS, Seo B, Kwon TY, Lee TJ, Moon C, Choi YM, Oikawa K, Masuoka S, Chun KY, Park SH, Shin HJ, Kim JC, Bhuwalka KK, Kim DH, Kim WJ, Yoo J, Jeon HY, Yang MS, Chung SJ, Kim D, Ham BH, Park KJ, Kim WD, Park SH, Song G, Kim YH, Kang MS, Hwang KH, Park CH, Lee JH, Kim DW, Jung SM, Kang HK (2018) 3nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications. IEEE Int Electron Devices Meeting (IEDM):28.7.1–28.7.4. https://doi.org/10.1109/IEDM.2018.8614629

  7. Sakib FI, Hasan MA, Hossain M (2020) Exploration of negative capacitance in gate-all-around si nanosheet transistors. IEEE Trans Electron Devices 67(11):5236–5242. https://doi.org/10.1109/TED.2020.3025524

    Article  CAS  Google Scholar 

  8. Zhang Q, Gu J, Xu R, Cao L, Li J, Wu Z, Wang G, Yao J, Zhang Z, Xiang J, He X, Kong Z, Yang H, Tian J, Xu G, Mao S, Radamson HH, Yin H, Luo J (2021) Optimization of structure and electrical characteristics for four-layer vertically-stacked horizontal gate-all-around si nanosheets devices. Nanomaterials 11:646. https://doi.org/10.3390/nano11030646

    Article  CAS  PubMed  PubMed Central  Google Scholar 

  9. Chu C, Wu K, Luo GL, Chen BY, Chen SH, Wu WF, Yeh WK (2018) Stacked Ge-nanosheet GAAFETs fabricated by Ge/Si multilayer epitaxy. IEEE Electron Device Lett 39(8):1133–1136. https://doi.org/10.1109/LED.2018.2850366

    Article  CAS  Google Scholar 

  10. Sreenivasulu VB, Narendar V (2021) Characterization and optimization of junctionless gate-all-around vertically stacked nanowire FETs for sub-5 nm technology nodes. Microelectron Eng 116:105214. https://doi.org/10.1016/j.mejo.2021.105214

    Article  CAS  Google Scholar 

  11. Yao J, Li J, Luo K, Yu J, Zhang Q, Hou Z, Gu J, Yang W, Wu Z, Yin H, Wang W (2018) Physical insights on quantum confinement and carrier mobility in Si, Si0.45Ge0.55, Ge gate-all-around NSFET for 5 nm technology node. IEEE J Electron Devices Soc 6:841–848. https://doi.org/10.1109/JEDS.2018.2858225

    Article  CAS  Google Scholar 

  12. Kim SD, Guillorn M, Lauer I, Oldiges P, Hook T, Na MH (2015) Performance trade-offs in FinFET and gate-all-around device architectures for 7nm-node and beyond. In: IEEE SOI-3D-subthreshold microelectronics technology unified conference (S3S), pp 1–3. https://doi.org/10.1109/S3S.2015.7333521

  13. Das UK, Bhattacharyya TK (2020) Opportunities in device scaling for 3-nm node and beyond: FinFET versus GAA-FET versus UFET. IEEE Trans Electron Devices 67(6):2633–2638. https://doi.org/10.1109/TED.2020.2987139

    Article  CAS  Google Scholar 

  14. Saini G, Rana AK, Pal PK, Jadav S (2010) Leakage behavior of underlap FinFET structure: a simulation study. In: International conference on computer and communication technology (ICCCT), pp 302–305. https://doi.org/10.1109/ICCCT.2010.5640528

  15. Tayal S, Gupta S, Nandi A, Gupta A, Jadav S (2019) Study of inner-gate engineering effect on analog/radio frequency performance of conventional Si-nanotube field effect transistor. J Nanoelectron Optoelectron 14(7):953–957(5). https://doi.org/10.1166/jno.2019.2649

    Article  CAS  Google Scholar 

  16. Ajayan J, Nirmal D, Tayal S, Bhattacharya S, Arivazhagan L, Fletcher A, Murugapandiyan P, Ajitha D (2021) Nanosheet field effect transistors-A next generation device to keep Moore’s law alive: an intensive study. Microelectron J 114. https://doi.org/10.1016/j.mejo.2021.105141

  17. Tayal S, Ajayan J, Joseph LMIL, Tarunkumar J, Nirmal D, Jena B, Nandi A (2021) A comprehensive investigation of vertically stacked silicon nanosheet field effect transistors: an analog/RF perspective. Silicon. https://doi.org/10.1007/s12633-021-01128-x

  18. Jena B, Bhol K, Nanda U, Tayal S, Routray SR (2021) Performance analysis of ferroelectric gaa mosfet with metal grain work function variability. Silicon. https://doi.org/10.1007/s12633-021-01031-5

  19. Dasgupta A, Parihar SS, Kushwaha P, Agarwal H, Kao MY, Salahuddin S, Chauhan YS, Hu C (2020) BSIM compact model of quantum confinement in advanced nanosheet FETs. IEEE Trans Electron Devices 67(2). https://doi.org/10.1109/TED.2019.2960269

  20. Dabhi CK, Roy AS, Yang L, Chauhan YS (2021) Anomalous GIDL effect with back bias in FinFET: physical insights and compact modeling. IEEE Trans Electron Devices 68(7). https://doi.org/10.1109/TED.2021.3083483

  21. International Roadmap for Devices and Systems, More Moore (2020) [Online]. Available: https://irds.ieee.org/editions/2020. Accessed 24 Sept 2021

  22. Cogenda Genius, 3D Device Simulator, Version1.9.0, Reference Manual, Cogenda, Singapore, 2008

  23. Jegadheesan V, Sivasankaran K, Konar A (2020) Optimized substrate for improved performance of stacked nanosheet field-effect transistor. IEEE Trans Electron Devices 67(10):4079–4084. https://doi.org/10.1109/TED.2020.3017175

    Article  CAS  Google Scholar 

  24. Saini G, Rana AK, 2011 Physical scaling limits of FinFET structure: a simulation study. Int J VLSI Design Commun Syst (VLSICS) 2.1:26–35. https://doi.org/10.5121/vlsic.2011.2103

  25. Jang D, Yakimets D, Eneman G, Schuddinck P, Bardon MG, Raghavan P, Spessot A, Verkest D, Mocuta A (2017) Device exploration of NanoSheet transistors for Sub-7-nm technology node. IEEE Trans Electron Devices 64(6):2707–2713. https://doi.org/10.1109/TED.2017.2695455

    Article  CAS  Google Scholar 

  26. Dasgupta A, Parihar SS, Agarwal H, Kushwaha P, Chauhan YS, Hu C (2020) Compact model for geometry dependent mobility in nanosheet FETs. IEEE Electron Device Lett 41(3):313–316. https://doi.org/10.1109/LED.2020.2967782

    Article  CAS  Google Scholar 

  27. Dasgupta A, Rastogi P, Agarwal A, Hu C, Chauhan YS (2018) Compact modeling of cross-sectional scaling in gate-all-around FETs: 3-D to 1-D transition. IEEE Trans Electron Devices 65(3):1094–1100. https://doi.org/10.1109/TED.2018.2797687

    Article  CAS  Google Scholar 

  28. Khan SZ, Hossain MS, Hossen MO, Rahman FU, Zaman R, Khosru QD (2014) Analytical modeling of gate capacitance and drain current of gate-all-around InGaAs nanowire MOSFET. In: 2nd International conference on electronic design (ICED) IEEE, pp 89–93. https://doi.org/10.1109/ICED.2014.7015777

Download references

Acknowledgements

The authors thank to the department of Electronics Engineering, J.C.Bose University of Scienceand Technology, YMCA for providing the TCAD Tools.

Funding

The authors declare that no funds, grants, or other support were received during the preparation of this manuscript.

Author information

Authors and Affiliations

Authors

Contributions

All the works (Conceptualization, Methodology, Writing Original Draft, Software, Validation and Investigation, Formal analysis, Resources, Data curation, Writing Review and Editing) in this paper have done together by Nisha Yadav, Sunil Jadav and Gaurav Saini. All authors read and approved the final manuscript.

Corresponding author

Correspondence to Nisha Yadav.

Ethics declarations

The contents of this manuscript are not now under consideration for publication elsewhere; The contents of this manuscript have not been copyrighted or published previously The contents of this manuscript will not be copyrighted, submitted, or published elsewhere, while acceptance by the Journal is under consideration.

Conflict of Interests

The author has no conflicts of interest to declare that are relevant to the content of this article.

Additional information

Financial Interests

The authors declare they have no financial interests.

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yadav, N., Jadav, S. & Saini, G. Geometrical Variability Impact on the Performance of Sub - 3 nm Gate-All-Around Stacked Nanosheet FET. Silicon 14, 10681–10693 (2022). https://doi.org/10.1007/s12633-022-01770-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12633-022-01770-z

Keywords

Navigation