Skip to main content
Log in

Impact of Gate Length and Doping Variation on the DC and Analog/RF Performance of sub - 3nm Stacked Si Gate-All-Around Nanosheet FET

  • Original Paper
  • Published:
Silicon Aims and scope Submit manuscript

Abstract

The nanosheet Field Effect Transistors (FETs) are the promising device architecture for sub - 5nm technology node as per the International Roadmap for Devices and Systems (IRDS) 2020 and has attracted the semiconductor industry as the key device architecture for upcoming low power to high performance applications. To contribute to the growth of this continuously evolving technology, the impact of key device design parameter namely gate length (Lg) and process parameters namely source/drain (S/D) doping (NSD) and channel doping (NCH) on the DC and analog/RF performance of gate-stack based Si gate-all-around (GAA) stacked nanosheet FETs have been explored. Simulation result shows that as we downscale the Lg from 30nm to 10nm, the short channel effects (SCEs) deteriorates the device performance significantly by reducing the threshold voltage (Vth) thereby increasing the OFF-current (Ioff) by 4 orders and degrading the sub-threshold swing (SS) and drain induced barrier lowering (DIBL). However, the ON-current (Ion), ON-current to OFF-current ratio (Ion/Ioff), intrinsic delay and analog/RF performance improves at shorter Lg. Higher NSD results in improved driving capability and analog performance of the device. However, Ioff, Ion/Ioff ratio, SS and DIBL degrades with higher NSD. Higher channel doping poses a solution to circumvent the SCEs in aggressively scaled devices, however, it causes scattering thereby reducing the mobility of the carriers. So, the doping should be chosen wisely to get the desired Vth and other performance parameters.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

Data Availability

Not applicable.

References

  1. Hayashi Y (1980) MOS Field effect transistors. JP Patent Application, pp S55–85706

  2. Sekigawa T, Hayashi Y (1984) Calculated threshold-voltage characteristics of an XMOS transistor having an additional bottom gate. Solid State Electron 27:827–828. https://doi.org/10.1016/0038-1101(84)90036-4

    Article  Google Scholar 

  3. Suzuki K, Tanaka T, Tosaka Y, Horie H, Arimoto Y (1993) Scaling theory for double-gate SOI MOSFET’s. IEEE Transactions on Electron Devices 40:2326–2329. https://doi.org/10.1109/16.249482

    Article  CAS  Google Scholar 

  4. Wong HSP, Frank DJ, Solomon PM (1998) Device design considerations for double-gate ground-plane and single-gated ultra-thin SOI MOSFET’s at the 25 nm channel length generation. International Electron Devices Meeting, pp 407–410. https://doi.org/10.1109/IEDM.1998.746385

  5. Kim JJ, Roy K (2004) Double gate-MOSFET subthreshold circuit for ultralow power applications. IEEE Transactions on Electron Devices 51:1468–1474. https://doi.org/10.1109/TED.2004.833965

    Article  Google Scholar 

  6. Doyle BS, Datta S, Doczy M, Hareland S, Jin B, Kavalieros J, Linton T, Murthy A, Rios R, Chau R (2003) High performance fully-depleted trigate CMOS transistors. IEEE Electron Device Letters 24:263–265. https://doi.org/10.1109/LED.2003.810888

    Article  CAS  Google Scholar 

  7. Yang JW, Fossum JG (2005) On the feasibility of nanoscale triple-gate CMOS transistors. IEEE Transactions on Electron Devices 52:1159–1164. https://doi.org/10.1109/TED.2005.848109

    Article  CAS  Google Scholar 

  8. Tsormpatzoglou A, Dimitriadis CA, Clerc R, Pananakakis G, Ghibaudo G (2008) Semianalytical modeling of short-channel effects in lightly doped silicon trigate MOSFETs. IEEE Transactions on Electron Devices 55:2623–2631. https://doi.org/10.1109/TED.2008.2003096

    Article  CAS  Google Scholar 

  9. Park JT, Colinge JP, Diaz CH (2001) Pi-gate SOI MOSFET. IEEE Electron Device Letters 22:405–406. https://doi.org/10.1109/55.936358

    Article  Google Scholar 

  10. Yang FL, Chen HY, Cheng FC, Huang CC, Chang CY, Chiu HK, Lee CC, Chen CC, Huang HT, Chen CJ, Tao HJ, Yeo YC, Liang MS, Hu C (2002) 25 nm CMOS Omega FETs. International Electron Devices Meeting, pp 255–258. https://doi.org/10.1109/IEDM.2002.1175826

  11. Choi YK, Lindert N, Xuan P, Tang S, Ha D, Anderson E, King TJ, Bokor J, Hu C (2001) Sub-20 nm CMOS finFET technologies. International Electron Devices Meeting, pp 19.1.1-19.1.4. https://doi.org/10.1109/IEDM.2001.979526

  12. Yu B, Chang L, Ahmed S, Wang H, Bell S, Yang CY, Tabery C, Ho C, Xiang Q, King TJ, Bokor J, Hu C, Lin MR, Kyser D (2002) FinFET scaling to 10 nm gate length. International Electron Devices Meeting, pp 251–254. https://doi.org/10.1109/IEDM.2002.1175825

  13. Choi YK, King TJ, Hu C (2002) A spacer patterning technology for nanoscale CMOS. IEEE Transactions on Electron Devices 49(3):436–441. https://doi.org/10.1109/16.987114

    Article  Google Scholar 

  14. Saini G, Rana AK, Pal PK, Jadav S (2010) Leakage behavior of underlap finFET structure: A simulation study. In: International Conference on Computer and Communication Technology (ICCCT), pp 302–305. https://doi.org/10.1109/ICCCT.2010.5640528

  15. Gaurav S, Rana AK (2011) Physical scaling limits of finFET structure: A simulation study. International Journal of VLSI Design and Communication Systems. vol. 2. https://doi.org/10.5121/vlsic.2011.2103

  16. Yeap G, Lin SS, Chen YM et al (2019) 5nm CMOS production technology platform featuring full-fledged EUV, and high mobility channel FinFETs with densest 0.021 um2 SRAM cells for mobile SoC and high performance computing applications. IEEE International Electron Devices Meeting (IEDM), pp 36.7.1–36.7.4. https://doi.org/10.1109/IEDM19573.2019.8993577

  17. Yadav N, Jadav S, Saini G (2022) Geometrical variability impact on the performance of Sub - 3 nm Gate-All-Around stacked nanosheet FET. Silicon. https://doi.org/10.1007/s12633-022-01770-z

  18. Yadav N, Jadav S, Saini G (2022) DC And analog/RF Performance Analysis of Multi-Bridge Channel FET with Variation in Gate Work Function. In: International Conference for Advancement in Technology (ICONAT), pp 1–4. https://doi.org/10.1109/ICONAT53423.2022.9726102

  19. Loubet N, Hook T, Montanini P, Yeung CW, Kanakasabapathy S, Guillom M, Yamashita T, Zhang J, Miao X, Wang J, Young A, Chao R, Kang M, Liu Z, Fan S, Hamieh B, Sieg S, Mignot Y, Xu W, Seo SC, Yoo J, Mochizuki S, Sankarapandian M, Kwon O, Carr A, Greene A, Park Y, Frougier J, Galatage R, Bao R, Shearer J, Conti R, Song H, Lee D, Kong D, Xu Y, Arceo A, Bi Z, Xu P, Muthinti R, Li J, Wong R, Brown D, Oldiges P, Robison R, Arnold J, Felix N, Skordas S, Gaudiello J, Standaert T, Jagannathan H, Corliss D, Na MH, Knorr A, Wu T, Gupta D, Lian S, Divakaruni R, Gow T, Labelle C, Lee S, Paruchuri V, Bu H, Khare M (2017) Stacked nanosheet gate-all-around transistor to enable scaling beyond finFET. Proc. IEEE Symp. VLSI Technology, pp 230–231. https://doi.org/10.23919/VLSIT.2017.7998183

  20. Yeung CW, Zhang J, Chao R, Kwon O, Vega R, Tsutsui G, Miao X, Zhang C, Sohn CW, Moon BK, Razavieh A, Frougier J, Greene A, Galatage R, Li J, Wang M, Loubet N, Robison R, Basker V, Yamashita T, Guo D (2018) Channel geometry impact and narrow sheet effect of Stacked Nanosheet. IEEE International Electron Devices Meeting (IEDM), pp. 28.6.1-28.6.4. https://doi.org/10.1109/IEDM.2018.8614608

  21. Bae G, Bae DI, Kang M, Hwang SM, Kim SS, Seo B, Kwon TY, Lee TJ, Moon C, Choi YM, Oikawa K, Masuoka S, Chun KY, Park SH, Shin HJ, Kim JC, Bhuwalka KK, Kim DH, Kim WJ, Yoo J, Jeon HY, Yang MS, Chung SJ, Kim D, Ham BH, Park KJ, Kim WD, Park SH, Song G, Kim YH, Kang MS, Hwang KH, Park CH, Lee JH, Kim DW, Jung SM, Kang HK (2018) 3nm GAA Technology featuring Multi-Bridge-Channel FET for low power and high performance applications. IEEE International Electron Devices Meeting (IEDM), pp 28.7.1–28.7.4. https://doi.org/10.1109/IEDM.2018.8614629

  22. Dasgupta A, Rastogi P, Agarwal A, Hu C, Chauhan YS (2018) Compact modeling of cross-sectional scaling in Gate-All-Around FETs: 3-D to 1-D transition. IEEE Transactions on Electron Devices 65 (3):1094–1100. https://doi.org/10.1109/TED.2018.2797687

    Article  CAS  Google Scholar 

  23. Chu CL, Wu K, Luo GL, Chen BY, Chen SH, Wu WF, Yeh WK (2018) Stacked Ge-Nanosheet GAAFETs fabricated by Ge/Si multilayer epitaxy. IEEE Electron Device Letters 39:1133–1136. https://doi.org/10.1109/LED.2018.2850366

    Article  CAS  Google Scholar 

  24. Yao J, Li J, Luo K, Yu J, Zhang Q, Hou Z, Gu J, Yang W, Wu Z, Yin H, Wang W (2018) Physical insights on quantum confinement and carrier mobility in Si, Si0.45Ge0.55, Ge Gate-All-Around NSFET for 5 nm technology node. IEEE Journal of the Electron Devices Society 6:841–848. https://doi.org/10.1109/JEDS.2018.2858225

    Article  CAS  Google Scholar 

  25. Nagy D, Espineira G, Indalecio G, Loureiro AJ, Kalna K, Seoane N (2020) Benchmarking of finFET, Nanosheet, and Nanowire FET architectures for future technology nodes. IEEE Access 8:53196–53202. https://doi.org/10.1109/ACCESS.2020.2980925

    Article  Google Scholar 

  26. Das UK, Bhattacharyya TK (2020) Opportunities in device scaling for 3-nm node and beyond: FinFET Versus GAA-FET Versus UFET. IEEE Transactions on Electron Devices 67:2633–2638. https://doi.org/10.1109/TED.2020.2987139

    Article  CAS  Google Scholar 

  27. Dasgupta A, Parihar SS, Kushwaha P, Agarwal H, Kao MY, Salahuddin S, Chauhan YS, Hu C (2020) BSIM compact model of quantum confinement in advanced nanosheet FETs. IEEE Transactions on Electron Devices, 67(2). https://doi.org/10.1109/TED.2019.2960269

  28. Dasgupta A, Parihar SS, Agarwal H, Kushwaha P, Chauhan YS, Hu C (2020) Compact model for geometry dependent mobility in nanosheet FETs. IEEE Electron Device Letters 41:313–316. https://doi.org/10.1109/LED.2020.2967782

    Article  CAS  Google Scholar 

  29. Jegadheesan V, Sivasankaran K, Konar A (2020) Optimized substrate for improved performance of stacked nanosheet Field-Effect transistor. IEEE Transactions on Electron Devices 67(10):4079–4084. https://doi.org/10.1109/TED.2020.3017175

    Article  CAS  Google Scholar 

  30. Zhang Q, Gu J, Xu R, Cao L, Li J, Wu Z, Wang G, Yao J, Zhang Z, Xiang J, He X, Kong Z, Yang H, Tian J, Xu G, Mao S, Radamson HH, Yin H, Luo J (2021) Optimization of structure and electrical characteristics for Four-Layer Vertically-Stacked horizontal Gate-All-Around si nanosheets devices. Nanomaterials 11:646. https://doi.org/10.3390/nano11030646

    Article  CAS  Google Scholar 

  31. Sreenivasulu VB, Narendar V (2021) Characterization and optimization of junctionless gate-all-around vertically stacked nanowire FETs for sub-5 nm technology nodes. Microelectron J 116:105214. https://doi.org/10.1016/j.mejo.2021.105214

    Article  CAS  Google Scholar 

  32. Ajayan J, Nirmal D, Tayal S, Bhattacharya S, Arivazhagan L, Fletcher A, Murugapandiyan P, Ajitha D (2021) Nanosheet field effect transistors-A next generation device to keep Moore’s law alive: An intensive study. Microelectron J, vol 114. https://doi.org/10.1016/j.mejo.2021.105141

  33. Dabhi CK, Roy AS, Yang L, Chauhan YS (2021) Anomalous GIDL effect with back bias in finFET: Physical insights and compact modeling. IEEE Transactions on Electron Devices, vol 68. https://doi.org/10.1109/TED.2021.3083483

  34. Goel A, Rawat A, Rawat B (2022) Benchmarking of analog/RF performance of fin-FET, NW-FET, and NS-FET in the ultimate scaling limit. IEEE Transactions on Electron Devices 69:1298–1305. https://doi.org/10.1109/TED.2021.3140158

    Article  CAS  Google Scholar 

  35. Gu J, Zhang Q, Wu Z, Luo Y, Cao L, Cai Y, Yao J, Zhang Z, Xu G, Yin H, Luo J, Wang W (2022) Narrow Sub-Fin technique for suppressing Parasitic-Channel effect in stacked nanosheet transistors. IEEE Journal of the Electron Devices Society 10:35–39. https://doi.org/10.1109/JEDS.2021.3130123

    Article  CAS  Google Scholar 

  36. Ganeriwala MD, Singh A, Dubey A, Kaur R, Mohapatra NR (2022) A Bottom-Up scalable compact model for quantum confined nanosheet FETs. IEEE Transactions on Electron Devices 69:380–387. https://doi.org/10.1109/TED.2021.3130015

    Article  Google Scholar 

  37. Kim S, Lee K, Kim S, Kim M, Lee JH, Kim S, Park BG (2022) Investigation of device performance for fin angle optimization in finFET and Gate-All-Around FETs for 3 nm-Node and beyond. IEEE Transactions on Electron Devices 69:2088–2093. https://doi.org/10.1109/TED.2022.3154683

    Article  Google Scholar 

  38. Schmidt D, Cepler A, Durfee C, Pancharatnam S, Frougier J, Breton M, Greene A, Klare M, Koret R, Turovets I (2022) Development of SiGe Indentation Process Control for Gate-All-Around FET Technology Enablement. IEEE Transactions on Semiconductor Manufacturing. https://doi.org/10.1109/TSM.2022.3168585

  39. International Roadmap for Devices and Systems More Moore (2021) [Online] Available: https://irds.ieee.org/editions/2021/more-moore (Accessed on 19th May 2022)

  40. Yoon J, Jeong J, Lee S, Baek R (2018) Systematic DC/AC performance benchmarking of Sub-7-nm Node finFETs and Nanosheet FETs. IEEE Journal of the Electron Devices Society 6:942–947. https://doi.org/10.1109/JEDS.2018.2866026

    Article  CAS  Google Scholar 

  41. Sung WL, Li Y (2021) Characteristics of stacked Gate-All-Around Si nanosheet MOSFETs with metal sidewall source/drain and their impacts on CMOS circuit properties. IEEE Transactions on Electron Devices 68:3124–3128. https://doi.org/10.1109/TED.2021.3074126

    Article  CAS  Google Scholar 

  42. Cogenda Genius (2008) 3D Device Simulator, Version1.9.0, Reference manual, Cogenda, Singapore

  43. Angelov GV, Nikolov DN, Hristov MH (2019) Technology and modeling of nonclassical transistor devices. Journal of Electrical and Computer Engineering. https://doi.org/10.1155/2019/4792461

  44. Dubey AK, Pal PK, Varshney V, Kumar A, Nagaria RK (2019) Impact of Channel Doping Fluctuation and Metal Gate Work Function Variation in FD-SOI MOSFET for 5nm BOX Thickness. IEEE Conference on Information and Communication Technology, pp 1–4. https://doi.org/10.1109/CICT48419.2019.9066255

  45. Nuttinck S, Parvais B, Curatola G, Mercha A (2007) Double-gate finFETs as a CMOS technology downscaling option: An RF perspective. IEEE Transactions on Electron Devices 54:279–283. https://doi.org/10.1109/TED.2006.888670

    Article  CAS  Google Scholar 

Download references

Acknowledgements

The authors thanks to the department of Electronics Engineering, J.C.Bose University of Science and Technology, YMCA for providing the TCAD Tools.

Funding

The authors declare that no funds, grants, or other support were received during the preparation of this manuscript.

Author information

Authors and Affiliations

Authors

Contributions

All the works (Conceptualization, Methodology, Writing Original Draft, Software, Validation and Investigation, Formal analysis, Resources, Data curation, Writing Review and Editing) in this paper have done together by Nisha Yadav, Sunil Jadav and Gaurav Saini. All authors read and approved the final manuscript.

Corresponding author

Correspondence to Nisha Yadav.

Ethics declarations

The authors declare that they have no known competing financial interests or personal relationships that could have appeared to influence the work reported in this paper.

Consent for Publication

Yes.

Consent to participate

Not applicable.

Financial Interests

The authors declare they have no financial interests.

Conflict of Interests

The author has no conflicts of interest to declare that are relevant to the content of this article.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yadav, N., Jadav, S. & Saini, G. Impact of Gate Length and Doping Variation on the DC and Analog/RF Performance of sub - 3nm Stacked Si Gate-All-Around Nanosheet FET. Silicon 15, 217–228 (2023). https://doi.org/10.1007/s12633-022-01989-w

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12633-022-01989-w

Keywords

Navigation