Skip to main content
Log in

Performance projections for ballistic carbon nanotube FinFET at circuit level

  • Research Article
  • Published:
Nano Research Aims and scope Submit manuscript

Abstract

A novel three-dimensional device structure for a carbon nanotube (CNT) fin field-effect transistor (FinFET) is proposed and evaluated. We evaluated the potential of the CNT FinFET compared with a Si FinFET at a 22-nm node at the circuit level using three performance metrics including propagation delay, total power dissipation, and energy-delay product (EDP). Compared with a Si FinFET, the CNT FinFET presents obvious advantages in speed and EDP arising from its almost much larger current density but also results in a higher total power dissipation, especially at a low threshold voltage (V th = 1/3V dd). A suitable improvement in V th can effectively contribute to a significant suppression of leakage current and power dissipation, and then an obvious optimization is obtained in the EDP with an acceptable sacrifice in speed. In particular, CNT FinFETs with optimized threshold voltages can provide an EDP advantage of approximately 50 times over Si FinFETs under a low supply voltage (V dd = 0.4 V), suggesting great potential for CNT FinFET-based integrated circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Ismail, K. Si/SiGe CMOS: Can it extend the lifetime of Si? In Proceedings of the 1997 IEEE International Solid-State Circuits Conference, 1997. Digest of Technical Papers. 43rd ISSCC, San Francisco, CA, USA, 1997, pp 116–117.

    Google Scholar 

  2. Lee, S. J.; Lee, C.-H.; Kim, Y. H.; Luan, H. F.; Bai, W. P.; Jeon, T. S.; Kwong, D. L. High-k gate dielectrics for sub-100 nm CMOS technology. In Proceedings of the 6th International Conference on Solid-State and Integrated-Circuit Technolog, Shanghai, China, 2001, pp 303–308.

    Google Scholar 

  3. Breed, A.; Roenker, K. P. Dual-gate (FinFET) and tri-gate MOSFETs: Simulation and design. In Proceedings of the 2003 International Semiconductor Device Research Symposium, Washington, DC, USA, 2003, pp 150–151.

    Chapter  Google Scholar 

  4. Mogami, T. Challenges for sub-10 nm CMOS devices. In Proceedings of the 8th International Conference on Solid-State and Integrated Circuit Technology, Shanghai, China, 2006, pp 23–26.

    Google Scholar 

  5. Avouris, P.; Chen, Z. H.; Perebeinos, V. Carbon-based electronics. Nat. Nanotochnol. 2007, 2, 605–615.

    Article  Google Scholar 

  6. Anantram, M. P. Current-carrying capacity of carbon nanotubes. Phys. Rev. B 2000, 62, 4837.

    Article  Google Scholar 

  7. Javey, A.; Guo, J.; Farmer, D. B.; Wang, Q.; Wang, D. W.; Gordon, R. G.; Lundstrom, M.; Dai, H. J. Carbon nanotube field-effect transistors with integrated ohmic contacts and high-κ gate dielectrics. Nano Lett. 2004, 4, 447–450.

    Article  Google Scholar 

  8. Javey, A.; Kim, H.; Brink, M.; Wang, Q.; Ural, A.; Guo, J.; McIntyre, P.; McEuen, P.; Lundstrom, M.; Dai, H. J. High-κ dielectrics for advanced carbon-nanotube transistors and logic gates. Nat. Mater. 2002, 1, 241–246.

    Article  Google Scholar 

  9. Javey, A.; Guo, J.; Wang, Q.; Lundstrom, M.; Dai, H. J. Ballistic carbon nanotube field-effect transistors. Nature 2003, 424, 654–657.

    Article  Google Scholar 

  10. Javey, A.; Qi, P. F.; Wang, Q.; Dai, H. J. Ten- to 50-nm-long quasi-ballistic carbon nanotube devices obtained without complex lithography. Proc. Natl. Acad. Sci. USA 2004, 101, 13408–13410.

    Article  Google Scholar 

  11. Zhang, Z. Y.; Liang, X. L.; Wang, S.; Yao, K.; Hu, Y. F.; Zhu, Y. Z.; Chen, Q.; Zhou, W. W.; Li, Y.; Yao, Y. G. et al. Doping-free fabrication of carbon nanotube based ballistic CMOS devices and circuits. Nano Lett. 2007, 7, 3603–3607.

    Article  Google Scholar 

  12. Zhang, Z. Y.; Wang, S.; Ding, L.; Liang, X. L.; Pei, T.; Shen, J.; Xu, H. L.; Chen, Q.; Cui, R. L.; Li, Y. et al. Self-aligned ballistic n-type single-walled carbon nanotube fieldeffect transistors with adjustable threshold voltage. Nano Lett. 2008, 8, 3696–3701.

    Article  Google Scholar 

  13. Zhang, Z. Y.; Wang, S.; Wang, Z. X.; Ding, L.; Pei, T.; Hu, Z. D.; Liang, X. L.; Chen, Q.; Li, Y.; Peng, L.-M. Almost perfectly symmetric SWCNT-based CMOS devices and scaling. ACS Nano 2009, 3, 3781–3787.

    Article  Google Scholar 

  14. Franklin, A. D.; Luisier, M.; Han, S. J.; Tulevski, G.; Breslin, C. M.; Gignac, L.; Lundstrom, M. S.; Haensch, W. Sub-10 nm carbon nanotube transistor. Nano Lett. 2012, 12, 758–762.

    Article  Google Scholar 

  15. Ding, L.; Zhang, Z. Y.; Liang, S. B.; Pei, T.; Wang, S.; Li, Y.; Zhou, W. W.; Liu, J.; Peng, L.-M. CMOS-based carbon nanotube pass-transistor logic integrated circuits. Nat. Commun. 2012, 3, 677.

    Article  Google Scholar 

  16. Ding, L.; Zhang, Z. Y.; Pei, T.; Liang, S. B.; Wang, S.; Zhou, W. W.; Liu, J.; Peng, L.-M. Carbon nanotube field-effect transistors for use as pass transistors in integrated logic gates and full subtractor circuits. ACS Nano 2012, 6, 4013–4019.

    Article  Google Scholar 

  17. Pei, T.; Zhang, P. P.; Zhang, Z. Y.; Qiu, C. G.; Liang, S. B.; Yang, Y. J.; Wang, S.; Peng, L. M. Modularized construction of general integrated circuits on individual carbon nanotubes. Nano Lett. 2014, 14, 3102–3109.

    Article  Google Scholar 

  18. Shulaker, M. M.; Hills, G.; Patil, N.; Wei, H.; Chen, H. Y.; Wong, H. S. P.; Mitra, S. Carbon nanotube computer. Nature 2013, 501, 526–530.

    Article  Google Scholar 

  19. Sun, D. M.; Timmermans, M. Y.; Kaskela, A.; Nasibulin, A. G.; Kishimoto, S.; Mizutani, T.; Kauppinen, E. I.; Ohno, Y. Mouldable all-carbon integrated circuits. Nat. Commun. 2013, 4, 2302.

    Google Scholar 

  20. Cao, Q.; Kim, H. S.; Pimparkar, N.; Kulkarni, J. P.; Wang, C. J.; Shim, M.; Roy, K.; Alam, M. A.; Rogers, J. A. Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates. Nature 2008, 454, 495–500.

    Article  Google Scholar 

  21. Zhang, P. P.; Yang, Y. J.; Pei, T.; Qiu, C. G.; Ding, L.; Liang, S. B.; Zhang, Z. Y.; Peng, L. M. Transient response of carbon nanotube integrated circuits. Nano Res. 2015, 8, 1005–1016.

    Article  Google Scholar 

  22. Franklin, A. D.; Lin, A.; Wong, H.-S. P.; Chen, Z. Current scaling in aligned carbon nanotube array transistors with local bottom gating. IEEE Electr. Device L. 2010, 31, 644–646.

    Article  Google Scholar 

  23. Brady, G. J.; Joo, Y.; Wu, M.-Y.; Shea, M. J.; Gopalan, P.; Arnold, M. S. Polyfluorene-sorted, carbon nanotube array field-effect transistors with increased current density and high on/off ratio. ACS Nano 2014, 8, 11614–11621.

    Article  Google Scholar 

  24. Qiu, C. G.; Zhang, Z. Y.; Zhong, D. L.; Si, J.; Yang, Y. J.; Peng, L.-M. Carbon nanotube feedback-gate field-effect transistor: Suppressing current leakage and increasing on/off ratio. ACS Nano 2015, 9, 969–977.

    Article  Google Scholar 

  25. Javey, A.; Guo, J.; Farmer, D. B.; Wang, Q.; Yenilmez, E.; Gordon, R. G.; Lundstrom, M.; Dai, H. J. Self-aligned ballistic molecular transistors and electrically parallel nanotube arrays. Nano Lett. 2004, 4, 1319–1322.

    Article  Google Scholar 

  26. Ding, L.; Wang, Z. X.; Pei, T.; Zhang, Z. Y.; Wang, S.; Xu, H. L.; Peng, F.; Li, Y.; Peng, L.-M. Self-aligned U-gate carbon nanotube field-effect transistor with extremely small parasitic capacitance and drain-induced barrier lowering. ACS Nano 2011, 5, 2512–2519.

    Article  Google Scholar 

  27. Lin, Y.-M.; Appenzeller, J.; Chen, Z. H.; Chen, Z.-G.; Cheng, H.-M.; Avouris, P. High-performance dual-gate carbon nanotube FETs with 40-nm gate length. IEEE Electr. Device L. 2005, 26, 823–825.

    Article  Google Scholar 

  28. Chen, Z.; Farmer, D.; Xu, S.; Gordon, R.; Avouris, P.; Appenzeller, J. Externally assembled gate-all-around carbon nanotube field-effect transistor. IEEE Electr. Device L. 2008, 29, 183–185.

    Article  Google Scholar 

  29. Tulevski, G. S.; Franklin, A. D.; Frank, D.; Lobez, J. M.; Cao, Q.; Park, H.; Afzali, A.; Han, S.-J.; Hannon, J. B.; Haensch, W. Toward high-performance digital logic technology with carbon nanotubes. ACS Nano 2014, 8, 8730–8745.

    Article  Google Scholar 

  30. Shekhar, S.; Stokes, P.; Khondaker, S. I. Ultrahigh density alignment of carbon nanotube arrays by dielectrophoresis. ACS Nano 2011, 5, 1739–1746.

    Article  Google Scholar 

  31. Shekhar, S.; Erementchouk, M.; Leuenberger, M. N.; Khondaker, S. I. Correlated electrical breakdown in arrays of high density aligned carbon nanotubes. Appl. Phys. Lett. 2011, 98, 243121.

    Article  Google Scholar 

  32. Dunga, M. V.; Lin, C.-H.; Niknejad, A. M.; Hu, C. M. BSIM-CMG: A compact model for multi-gate transistors. In FinFETs and Other Multi-Gate Transistors; Colinge, J. P., Ed.; Springer: New York, 2008; pp 113–153.

    Chapter  Google Scholar 

  33. Lu, Q.; Lin, R.; Ranade, P.; King, T.-J.; Hu, C. M. Metal gate work function adjustment for future CMOS technology. In 2001 Symposium on VLSI Technology, 2001. Digest of Technical Papers, Kyoto, Japan, 2001, pp 45–46.

    Google Scholar 

  34. Léonard, F. Crosstalk between nanotube devices: Contact and channel effects. Nanotechnology 2006, 17, 2381–2385.

    Article  Google Scholar 

  35. Raychowdhury, A.; De, V. K.; Kurtin, J.; Borkar, S. Y.; Roy, K.; Keshavarzi, A. Variation tolerance in a multichannel carbon-nanotube transistor for high-speed digital circuits. IEEE T. Electron Dev. 2009, 56, 383–392.

    Article  Google Scholar 

  36. Cao, Q.; Tersoff, J.; Han, S.-J.; Penumatcha, A. V. Scaling of device variability and subthreshold swing in ballistic carbon nanotube transistors. Phys. Rev. Appl. 2015, 4, 024022.

    Article  Google Scholar 

  37. Raychowdhury, A.; Mukhopadhyay, S.; Roy, K. A circuitcompatible model of ballistic carbon nanotube field-effect transistors. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2004, 23, 1411–1420.

    Article  Google Scholar 

  38. Paul, B. C.; Fujita, S.; Okajima, M.; Lee, T. Prospect of ballistic CNFET in high performance applications: Modeling and analysis. ACM J. Emerg. Technol. Comput. Syst. 2007, 3, Article No. 12.

    Google Scholar 

  39. Deng, J.; Wong, H.-S. Modeling and analysis of planar-gate electrostatic capacitance of 1-D FET with multiple cylindrical conducting channels. IEEE T. Electron Dev. 2007, 54, 2377–2385.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Zhiyong Zhang or Lianmao Peng.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, P., Qiu, C., Zhang, Z. et al. Performance projections for ballistic carbon nanotube FinFET at circuit level. Nano Res. 9, 1785–1794 (2016). https://doi.org/10.1007/s12274-016-1071-4

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12274-016-1071-4

Keywords

Navigation