Skip to main content
Log in

Copper Bonding Technology in Heterogeneous Integration

  • Review Paper
  • Published:
Electronic Materials Letters Aims and scope Submit manuscript

Abstract

As semiconductor device scaling faces a severe technical bottleneck, vertical die stacking technologies have been developed to obtain high performance, high density, low latency, cost effectiveness and a small form factor. This stacking technology is receiving great attention from industry as a core technology from the point of view of recent heterogeneous integration technology. Most importantly, bonding using copper is aggressively studied to stack various wafers or dies and realize genuine three-dimensional packaging. Copper is emerging as the most attractive bonding material due to its fine-pitch patternability and high electrical performance with a CMOS-friendly process. Unfortunately, copper is quickly oxidized, and a high bonding temperature is required for complete Cu bonding, which greatly exceeds the thermal budget for the packaging process. Additionally, the size of Cu pads is decreasing to increase the density of interconnections. Therefore, various copper bonding methods have been studied to realize copper oxidation prevention, a low bonding temperature, and a fine-pitch Cu pad structure with a high density. Furthermore, recently, hybrid bonding, which refers to the simultaneous bonding of copper pads and surrounding dielectrics, has been considered a possible solution for advanced bonding technology. This paper reviews recent studies on various copper bonding technologies, including Cu/oxide hybrid bonding.

Graphical Abstract

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18

© The Electrochemical Society. Reproduced with permission from IOP Publishing. All rights reserved)

Similar content being viewed by others

References

  1. Lee, S., Jee, Y., Park, S., Lee, S., Hwang, B., Jo, G., Lee, C., Park, J., Jang, A., Jung, H. C., Kim, I., Kang, D., Baek, S., Kim, D.W., Kang, U.: A study on memory stack process by hybrid copper bonding (HCB) technology. In: IEEE 72nd ECTC, pp. 1085–1089 (2022). https://doi.org/10.1109/ECTC51906.2022.00175

  2. Agarwal, R., Cheng, P., Shah, P., Wilkerson, B., Swaminathan, R., Wuu, J., Mandalapu, C.: 3D packaging for heterogeneous integration. In: IEEE 72nd ECTC, pp. 1103–1107 (2022). https://doi.org/10.1109/ECTC51906.2022.00178

  3. Iacovo, S., Nagano, F., Channam, V.S.K., Walsby, E., Crook, K., Buchanan, K., Jourdain, A., Vanstreels, K., Phommahaxay, A., Beyne, E.: Direct bonding using low temperature SiCN dielectrics. In: IEEE 72nd ECTC, pp. 602–607 (2022). https://doi.org/10.1109/ECTC51906.2022.00101

  4. Weng, M.W., Mao, S.Y., Liu, D., Hu, H.W., Chen, K.N.: Asymmetric low temperature cu-polymer hybrid bonding with Au passivation layer. In: VLSI-TSA, pp. 2021–2022 (2021). https://doi.org/10.1109/VLSI-TSA51926.2021.9440101

  5. Panigrahy, A.K., Chen, K.: Low temperature Cu–Cu bonding Technology in three dimensional integration: an extensive review. J. Electron. Packag. 140, 010801 (2018). https://doi.org/10.1115/1.4038392

    Article  Google Scholar 

  6. Das, S., Chandrakasan, A.P., Reif, R.: Calibration of rent’s rule models for three-dimensional integrated circuits. IEEE Trans. Very Large Scale Integr. Syst. 12, 359–366 (2004). https://doi.org/10.1109/TVLSI.2004.825833

    Article  Google Scholar 

  7. Chen, K.N., Tan, C.S., Fan, A., Reif, R.: Abnormal contact resistance reduction of bonded copper interconnects in three-dimensional integration during current stressing. Appl. Phys. Lett. 86, 011903 (2005). https://doi.org/10.1063/1.1844609

    Article  Google Scholar 

  8. Farooq, M.G., Iyer, S.S.: 3D integration review. Sci. China Inf. Sci. 54, 1012–1025 (2011). https://doi.org/10.1007/s11432-011-4226-7

    Article  Google Scholar 

  9. Park, H., Kim, S.E.: Nitrogen passivation formation on Cu surface by Ar–N2 plasma for Cu-to-Cu wafer stacking application. Microsyst. Technol. 25, 3847–3855 (2019). https://doi.org/10.1007/s00542-018-4254-y

    Article  Google Scholar 

  10. Sikka, K., Bonam, R., Liu, Y., Andry, P., Parekh, D., Jain, A., Bergendahl, M., Divakaruni, R., Cournoyer, M., Gagnon, P., Dufort, C., Sousa, I., Zhang, H., Cropp, E., Wassick, T., Mori, H., Kohara, S.: Direct bonded heterogeneous integration (DBHI) Si bridge. In: IEEE 71st ECTC, pp. 136–147 (2021). https://doi.org/10.1109/ECTC32696.2021.00034

  11. Elsherbini, A., Liff, S., Swan, J., Jun, K., Tiagaraj, S., Pasdast, G.: Hybrid bonding interconnect for advanced heterogeneously integrated processors. In: IEEE 71st ECTC, pp. 1014–1019 (2021). https://doi.org/10.1109/ECTC32696.2021.00166

  12. Park, H., Kim, S.E.: Two-step plasma treatment on copper surface for low-temperature Cu thermo-compression bonding. IEEE Trans. Compon. Packag. Manuf. Technol. 10, 332–338 (2020). https://doi.org/10.1109/TCPMT.2019.2928323

    Article  Google Scholar 

  13. Zhang, S., Li, Z., Zhou, H., Li, R., Wang, S., Paik, K.-W., He, P.: Challenges and recent prospectives of 3D heterogeneous integration. In: E-Prime-Advances in Electrical Engineering, Electronics and Energy, vol. 2, p. 100052 (2022). https://doi.org/10.1016/j.prime.2022.100052

  14. Ren, H., Yang, Y.T., Iyer, S.S.: Recess effect study and process optimization of sub-10 μm pitch die-to-wafer hybrid bonding. In: IEEE 72nd ECTC, pp. 149–156 (2022). https://doi.org/10.1109/ECTC51906.2022.00034

  15. Murugesan, M., Mori, K., Sawa, M., Sone, E., Koyanagi, M., Fukushima, T.: Cu–SiO2 hybrid bonding yield enhancement through Cu grain enlargement. In: IEEE 72nd ECTC, pp. 685–690 (2022). https://doi.org/10.1109/ECTC51906.2022.00115

  16. Kim, M.K., Park, S., Jang, A., Lee, H., Baek, S., Lee, C.S., Kim, I., Park, J., Jee, Y., Kang, U.B., Kim, D.W.: Characterization of die-to-wafer hybrid bonding using heterogeneous dielectrics. In: IEEE 72nd ECTC, pp. 335–339 (2022). https://doi.org/10.1109/ECTC51906.2022.00062

  17. Chiu, W.L., Lee, O.H., Chiang, C.W., Chang, H.H.: Low-Temperature wafer-to-wafer hybrid bonding by nanocrystalline copper. In: IEEE 72nd ECTC, pp. 679–684 (2022). https://doi.org/10.1109/ECTC51906.2022.00114

  18. Park, H., Seo, H., Kim, S.E.: Anti-oxidant copper layer by remote mode N2 plasma for low temperature copper–copper bonding. Sci. Rep. 10, 21720 (2020). https://doi.org/10.1038/s41598-020-78396-x

    Article  Google Scholar 

  19. Seo, H., Park, H., Kim, S.E.: Comprehensive analysis of a Cu nitride passivated surface that enhances Cu-to-Cu bonding. IEEE Trans. Compon. Packag. Manuf. Technol. 10, 1814–1820 (2020). https://doi.org/10.1109/TCPMT.2020.3024998

    Article  Google Scholar 

  20. Bajwa, A.A., Jangam, S., Pal, S., Marathe, N., Bai, T., Fukushima, T., Goorsky, M., Iyer, S.S.: Heterogeneous integration at fine pitch (10 μm) using thermal compression bonding. In: IEEE 67th ECTC, pp. 1276–1284 (2017). https://doi.org/10.1109/ECTC.2017.240

  21. Tan, C.S., Peng, L., Fan, J., Li, H., Gao, S.: Three-dimensional wafer stacking using Cu–Cu bonding for simultaneous formation of electrical, mechanical, and hermetic bonds. IEEE Trans. Dev. Mater. Reliab. 12, 194–200 (2012). https://doi.org/10.1109/TDMR.2012.2188802

    Article  Google Scholar 

  22. Liu, C., Lin, H., Huang, Y., Chu, Y., Chen, C., Lyu, D., Chen, K., Tu, K.: Low-temperature direct copper-toc-opper bonding enabled by creep on (111) surfaces of nano-twinned Cu. Sci. Rep. 5, 09734 (2015). https://doi.org/10.1038/srep09734

    Article  Google Scholar 

  23. Rebhan, B., Plach, T., Tollabimazraehno, S., Dragoi, V., Kawano, M.: Cu–Cu wafer bonding: an enabling technology for three-dimensional integration. In: IEEE ICEP, pp. 475–479 (2014). https://doi.org/10.1109/ICEP.2014.6826724

  24. Morrow, P.R., Park, C., Ramanathan, S., Kobrinsky, M.J., Harmes, M.: Three-dimensional wafer stacking via Cu–Cu bonding integrated with 65-nm strained-Si/low-k CMOS technology. IEEE Electron Dev. Lett. 27, 335–337 (2006). https://doi.org/10.1109/LED.2006.873424

    Article  Google Scholar 

  25. Li, M., Breeden, M., Wang, V., Hollin, J., Linn, N.M.K., Winter, C.H., Kummel, A., Bakir, M.S.: Cu–Cu bonding using selective cobalt atomic layer deposition for 25-D/3-D chip integration technologies. IEEE Trans. Compon. Packag. Manuf. Technol. 10, 2125–2128 (2020). https://doi.org/10.1109/TCPMT.2020.3033257

    Article  Google Scholar 

  26. Panigrahy, A.K., Bonam, S., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Diffusion enhanced drive sub 100 °C wafer level fine-pitch Cu–Cu thermocompression bonding for 3D IC integration. In: IEEE 69th ECTC, pp. 2156–2161 (2019). https://doi.org/10.1109/ECTC.2019.00-24

  27. Beilliard, Y., Moreau, S., Di Cioccio, D., Coudrain, P., Romano, G., Nowodzinski, A., Aussenac, F., Jouneau, P., Rolland, E., Signamarcheix, T.: Advances toward reliable high density Cu–Cu interconnects by Cu-SiO2 direct hybrid bonding. In: IEEE International 3D Systems Integration Conference (2014). https://doi.org/10.1109/3DIC.2014.7274306

  28. Gao, G., Mirksrim, L., Fountain, G., Suwito, D., Theil, J., Workman, T., Uzoh, C., Guevara, G., Lee, B., Huyhn, M., Mrozek, P.: Low temperature hybrid bonding for die to wafer stacking applications. In: IEEE 71st ECTC, pp. 383–389 (2021). https://doi.org/10.1109/ECTC32696.2021.00071

  29. Enquist, P., Fountain, G., Petteway, C., Hollingsworth, A., Grady, H.: Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications. In: EEE International 3D Systems Integration Conference (2009). https://doi.org/10.1109/3DIC.2009.5306533

  30. Fujino, M., Takahashi, K., Araga, Y., Kikuchi, K.: 300 mm wafer-level hybrid bonding for Cu/interlayer dielectric bonding in vacuum. Jpn. J. Appl. Phys. 59, SBBA02 (2020). https://doi.org/10.7567/1347-4065/ab4b2b

    Article  Google Scholar 

  31. Theil, J.A., Mirkarimi, L, Fountain, G., Gao, G., Katkar, R.: Recent development in fine pitch wafer-to-wafer hybrid bonding with copper interconnect. In: IEEE IWLPC (2019). https://doi.org/10.23919/IWLPC.2019.8913862

  32. Lu, C., Jhu, S., Chen, C., Tsai, B., Chen, K.: Asymmetric wafer-level polyimide and Cu/Sn hybrid bonding for 3-D heterogeneous integration. IEEE Trans. Electron. Dev. 66, 3073–3079 (2019). https://doi.org/10.1109/TED.2019.2915332

    Article  Google Scholar 

  33. Hsiao, Z., Ko, C., Chang, H., Fu, H., Chiang, C., Hsu, C., Shen, W., Lo, W.: Cu/BCB hybrid bonding with TSV for 3D integration by using fly cutting technology. In: IEEE ICEP-IAAC, pp. 834–837 (2015). https://doi.org/10.1109/ICEP-IAAC.2015.7111128

  34. Chidambaram, V., Lianto, P., Wang, X., See, G., Wiswell, N., Kawano, M.: Dielectric materials characterization for hybrid bonding. In: IEEE 71st ECTC, pp. 426–431 (2021). https://doi.org/10.1109/ECTC32696.2021.00078

  35. Jangam, S.C., Adeel Bajwa, A., Mogera, U., Ambhore, P., Colosimo, T., Chylak, B., Iyer, S.S.: Fine-pitch (≤ 10 µm) direct Cu–Cu interconnects using in-situ formic acid vapor treatment. In: IEEE 69th ECTC, pp. 620–627 (2019). https://doi.org/10.1109/ECTC.2019.00099

  36. Chen, K.N., Fan, A., Tan, C.S., Reif, R.: Temperature and duration effects on microstructure evolution during copper wafer bonding. J. Electron. Mater. 32(12), 1371–1374 (2003). https://doi.org/10.1007/s11664-003-0103-5

    Article  Google Scholar 

  37. Shie, K., Juang, J., Chen, C.: Instant Cu-to-Cu direct bonding enabled by <111>-oriented nano-twinned Cu bumps. Jpn. J. Appl. Phys. 59, SBBA03 (2020). https://doi.org/10.7567/1347-4065/ab5697

    Article  Google Scholar 

  38. Kim, S., Kang, P., Kim, T., Lee, K., Jang, J., Moon, K., Na, H., Hyun, S., Hwang, K.: Cu microstructure of high density Cu hybrid bonding interconnection. In: IEEE 69th ECTC, pp. 636–641 (2019). https://doi.org/10.1109/ECTC.2019.00101

  39. Kim, S.E., Kim, S.: Wafer level Cu–Cu direct bonding for 3D integration. Microelectron. Eng. 137, 158–163 (2015). https://doi.org/10.1016/j.mee.2014.12.012

    Article  Google Scholar 

  40. Kwon, Y., Jindal, A., McMahon, J.J., Lu, J.Q., Gutmann, R.J., Cale, T.S.: Dielectric glue wafer bonding for 3D ICs. Mater. Res. Soc. Symp. Proc. 766, 27–32 (2003). https://doi.org/10.1557/PROC-766-E5.8

    Article  Google Scholar 

  41. Lü, J.Q., Kwon, Y., Kraft, R.P., Gutmann, R.J., McDonald, J.F., Gale, T.S.: Stacked chip-to-chip interconnections using wafer bonding technology with dielectric bonding glues. In: IEEE IITC, pp. 219–221 (2001). https://doi.org/10.1109/IITC.2001.930066

  42. Timothy, J.L., Ronald, C., Kwon, Y., Seok, J., Lu, J., Cale, T., Gutmann, R.: A study on the bond strength of BCB-bonded wafers. Korean Chem. Eng. Res. 45(5), 479–486 (2007)

    Google Scholar 

  43. Burns, J.A., Aull, B.F., Chen, C.K., Chen, C.L., Keast, C.L., Knecht, J.M., Suntharalingam, V., Warner, K., Wyatt, P.W., Yost, D.R.W.: A wafer-scale 3-D circuit integration technology. IEEE Trans. Electron. Dev. 53(10), 2507–2516 (2006). https://doi.org/10.1109/TED.2006.882043

    Article  Google Scholar 

  44. Fan, A., Rahman, A., Reif, R.: Copper wafer bonding. Electrochem. Solid State Lett. 2(10), 534–536 (1999). https://doi.org/10.1149/1.1390894

    Article  Google Scholar 

  45. Panigrahi, A.K., Bonam, S., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Diffusion enhanced drive sub 100 °C wafer level fine-pitch Cu–Cu thermocompression bonding for 3D IC integration. In: IEEE 69th ECTC, pp. 2156–2161 (2019). https://doi.org/10.1109/ECTC.2019.00-24

  46. Panigrahi, A.K., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Surface density gradient engineering precedes enhanced diffusion; drives CMOS in-line process flow compatible Cu–Cu thermocompression bonding at 75 °C. IEEE Trans. Dev. Mater. Reliab. 19, 791–795 (2019). https://doi.org/10.1109/TDMR.2019.2952927

    Article  Google Scholar 

  47. Juang, J.Y., Lu, C.L., Chen, K.J., Chen, C.C.A., Hsu, P.N., Chen, C., Tu, K.N.: Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient. Sci. Rep. 8(1), 1–11 (2018). https://doi.org/10.1109/IMPACT.2017.8255959

    Article  Google Scholar 

  48. Liu, C.M., Lin, H.W., Huang, Y.S., Chu, Y.C., Chen, C., Lyu, D.R., Chen, K.N., Tu, K.N.: Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu. Sci. Rep. 5, 1–11 (2015). https://doi.org/10.1038/srep09734

    Article  Google Scholar 

  49. Kim, S., Nam, Y., Kim, S.E.: Effects of forming gas plasma treatment on low-temperature Cu–Cu direct bonding. Jpn. J. Appl. Phys. 55, 06JC02 (2016). https://doi.org/10.7567/JJAP.55.06JC02

    Article  Google Scholar 

  50. Chiang, P., Liang, S., Song, J., Huang, S., Chiu, Y., Hung, C.: Enhanced Cu-to-Cu direct bonding by controlling surface physical properties. Jpn. J. Appl. Phys. 56, 035503 (2017). https://doi.org/10.7567/JJAP.56.035503

    Article  Google Scholar 

  51. Takagi, H., Kikuchi, K., Maeda, R., Chung, R.T., Suga, R.: Surface activated bonding of Silicon wafers at room temperature. Appl. Phys. Lett. 68, 2222–2224 (1996). https://doi.org/10.1063/1.115865

    Article  Google Scholar 

  52. Gao, R., He, S., Li, J., Shen, Y., Nishikawa, H.: Interfacial transformation of preoxidized Cu microparticles in a formic-acid atmosphere for pressureless Cu–Cu bonding. J. Mater. Sci. Mater. Electron. 31, 14635–14644 (2020). https://doi.org/10.1007/s10854-020-04026-x

    Article  Google Scholar 

  53. Park, H., Seo, H., Kim, S.E.: Characteristics of copper nitride nanolayer used in 3D Cu bonding interconnects. Electron. Mater. Lett. 17, 392–398 (2021). https://doi.org/10.1007/s13391-021-00299-4

    Article  Google Scholar 

  54. Chua, S.L., Chan, J.M., Goh, S.C., Tan, C.S.: Cu–Cu bonding in ambient environment by Ar/N2 plasma surface activation and its characterization. IEEE Trans. Comp. Packag. Manuf. Technol. 9, 596–605 (2019). https://doi.org/10.1109/TCPMT.2018.2875460

    Article  Google Scholar 

  55. Hu, L., Goh, S.C.K., Tan, C.S.: Ar/N2 plasma induced metastable CuxNy for Cu–Cu direct bonding. ECS Trans. 98, 203–210 (2020). https://doi.org/10.1149/09804.0203ecst

    Article  Google Scholar 

  56. Park, H., Seo, H., Kim, Y., Park, S., Kim, S.E.: Low-temperature (260 °C) solderless Cu–Cu bonding for fine-pitch 3-D packaging and heterogeneous integration. IEEE Trans. Comp. Packag. Manuf. Technol. 11, 565–572 (2021). https://doi.org/10.1109/TCPMT.2021.3065531

    Article  Google Scholar 

  57. Park, J., Kim, J., Park, Y.: Effects of wet treatment conditions and pattern densities on interfacial bonding characteristics of Cu–Cu direct bonds. Jpn. J. Appl. Phys. 53, 05HB07 (2014). https://doi.org/10.7567/JJAP.53.05HB07

    Article  Google Scholar 

  58. Lim, D.F., Wei, J., Leong, K.C., Tan, C.S.: Cu passivation for enhanced low temperature (< 300 °C) bonding in 3D integration. Microelectron. Eng. 106, 144–148 (2013). https://doi.org/10.1016/j.mee.2013.01.032

    Article  Google Scholar 

  59. Peng, J., Chen, B., Wang, Z., Guo, J., Wu, B., Hao, S., Zhang, Q., Gu, L., Zhou, Q., Liu, Z., Hong, S., You, S., Fu, A., Shi, Z., Xie, H., Cao, D., Lin, C., Fu, G., Zheng, L., Jiang, Y., Zheng, N.: Surface coordination layer passivates oxidation of copper. Nature 586, 390 (2020). https://doi.org/10.1038/s41586-020-2783-x

    Article  Google Scholar 

  60. Liang, S., Song, J., Huang, S., Chiu, Y., Tarng, D., Hung, C.: Light enhanced direct Cu bonding for advanced electronic assembly. J. Mater. Sci. Mater. Electron. 29, 14144–14150 (2018). https://doi.org/10.1007/s10854-018-9547-5

    Article  Google Scholar 

  61. Tan, C.S., Lim, D.F.: Cu surface passivation with self-assembled monolayer (SAM) and its application for wafer bonding at moderately low temperature. ECS Trans. 50, 115–123 (2012). https://doi.org/10.1149/05007.0115ecst

    Article  Google Scholar 

  62. Peng, L., Li, H.Y., Lim, D.F., Gao, S., Tan, C.S.: Thermal reliability of fine pitch Cu–Cu bonding with self assembled monolayer (SAM) passivation for wafer-on-wafer 3D-stacking. In: IEEE 61st ECTC, pp. 22–26 (2011). https://doi.org/10.1109/ECTC.2011.5898485

  63. Tan, C.S., Lim, D.F., Ang, X.F., Wei, J., Leong, K.C.: Low temperature Cu–Cu thermo-compression bonding with temporary passivation of self-assembled monolayer and its bond strength enhancement. Microelectron. Reliab. 52, 321–324 (2012). https://doi.org/10.1016/j.microrel.2011.04.003

    Article  Google Scholar 

  64. Lykova, M., Panchenko, I., Künzelmann, U., Reif, J., Geidel, M., Wolf, M.J., Lang, K.: Characterisation of Cu/Cu bonding using self-assembled monolayer. Solder. Surf. Mt. Technol. 30, 106–111 (2018). https://doi.org/10.1016/j.mee.2018.09.008

    Article  Google Scholar 

  65. Ma, Y., Roshanghias, A., Binder, A.: A comparative study on direct Cu–Cu bonding methodologies for copper pillar bumped flip-chips. J. Mater. Sci. Mater. Electron. 29, 9347–9353 (2018). https://doi.org/10.1007/s10854-018-8965-8

    Article  Google Scholar 

  66. Li, J., Liang, Q., Shi, T., Fan, J., Gong, B., Feng, C., Fan, J., Liao, G., Tang, Z.: Design of Cu nanoaggregates composed of ultra-small Cu nanoparticles for Cu–Cu thermocompression bonding. J. Alloys Compd. 772, 793–800 (2019). https://doi.org/10.1016/j.jallcom.2018.09.115

    Article  Google Scholar 

  67. Mou, Y., Peng, Y., Zhang, Y., Cheng, H., Chen, M.: Cu–Cu bonding enhancement at low temperature by using carboxylic acid surface-modified Cu nanoparticles. Mater. Lett. 227, 179–183 (2018). https://doi.org/10.1016/j.matlet.2018.05.037

    Article  Google Scholar 

  68. Zuo, Y., Shen, J., Hu, Y., Gao, R.: Improvement of oxidation resistance and bonding strength of Cu nanoparticles solder joints of Cu–Cu bonding by phosphating the nanoparticle. J. Mater. Proc. Technol. 253, 27–33 (2018). https://doi.org/10.1016/j.jmatprotec.2017.11.001

    Article  Google Scholar 

  69. Gao, R., Li, J., Shen, Y., Nishikawa, H.: A Cu–Cu bonding method using preoxidized Cu microparticles under formic acid atmosphere. In: EEE ICEP, pp. 159–162 (2019). https://doi.org/10.23919/ICEP.2019.8733490

  70. Liu, Z., Cai, J., Wang, Q., Liu, L., Zou, G.: Modified pulse laser deposition of Ag nanostructure as intermediate for low temperature Cu–Cu bonding. Appl. Surf. Sci. 445, 16–23 (2018). https://doi.org/10.1016/j.apsusc.2018.03.076

    Article  Google Scholar 

  71. Liu, Z., Cai, J., Wang, Q., Wang, Z., Liu, L., Zou, G.: Thermal-stable void-free interface morphology and bonding mechanism of low-temperature Cu–Cu bonding using Ag nanostructure as intermediate. J. Alloys Compd. 767, 575–582 (2018). https://doi.org/10.1016/j.jallcom.2018.07.060

    Article  Google Scholar 

  72. Wang, Q., Zhang, S., Lin, T., Zhang, P., He, P., Paik, K.W.: Highly mechanical and high-temperature properties of Cu–Cu joints using citrate-coated nanosized Ag paste in air. Progress Nat. Sci. Mater. Int. 31(1), 129–140 (2021). https://doi.org/10.1016/j.pnsc.2020.12.004

    Article  Google Scholar 

  73. Zhang, S., Wang, Q., Lin, T., Zhang, P., He, P., Paik, K.W.: Cu–Cu joining using citrate coated ultra-small nano-silver pastes. J. Manuf. Process. 62, 546–554 (2021). https://doi.org/10.1016/j.jmapro.2020.11.043

    Article  Google Scholar 

  74. Panigrahi, A.K., Bonam, S., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Low temperature, low pressure CMOS compatible Cu–Cu thermo-compression bonding with Ti passivation for 3D IC integration. In: IEEE 65th ECTC, pp. 2205–2210 (2015). https://doi.org/10.1109/ECTC.2015.7159909

  75. Park, S., Kim, Y., Kim, S.E.: Low-temperature diffusion behavior of Ti in Cu/Ti–Ti/Cu bonding. J. Electron. Mater. 51, s2617-2623 (2022). https://doi.org/10.1007/s11664-022-09501-1

    Article  Google Scholar 

  76. Panigrahi, A.K., Bonam, S., Ghosh, T., Singh, S.G., Vanjari, S.R.K.: Ultra-thin Ti passivation mediated breakthrough in high quality Cu–Cu bonding at low temperature and pressure. Mater. Lett. 169, 269–272 (2016). https://doi.org/10.1016/j.matlet.2016.01.126

    Article  Google Scholar 

  77. Bonam, S., Panigrahi, A.K., Kumar, C.H., Vanjari, S.R.K., Singh, S.G.: Interface and reliability analysis of Au-passivated Cu–Cu fine-pitch thermocompression bonding for 3-D IC applications. IEEE Trans. Comp. Packag. Manuf. Technol. 9, 1227–1234 (2019). https://doi.org/10.1109/TCPMT.2019.2912891

    Article  Google Scholar 

  78. Huang, Y., Chien, Y., Tzeng, R., Chen, K.: Demonstration and electrical performance of Cu–Cu bonding at 150 °C with Pd passivation. IEEE Trans. Electron. Dev. 62, 2587–2592 (2015). https://doi.org/10.1109/TED.2015.2446507

    Article  Google Scholar 

  79. Liu, D., Kuo, T., Liu, Y., Hong, Z., Chung, Y., Chou, T., Hu, H., Chen, K.: Investigation of low-temperature Cu–Cu direct bonding with Pt passivation layer in 3-D integration. IEEE Trans. Comp. Packag. Manuf. Technol. 11, 573–578 (2021). https://doi.org/10.1109/TCPMT.2021.3069085

    Article  Google Scholar 

  80. Kim, Y., Park, S., Kim, S.E.: The effect of an Ag nanofilm on low-temperature Cu/Ag–Ag/Cu chip bonding in air. Appl. Sci. 11, 9444 (2021). https://doi.org/10.3390/app11209444

    Article  Google Scholar 

  81. Chou, T., Huang, S., Chen, P., Hu, H., Liu, D., Chang, C., Ni, T., Chen, C., Lin, Y., Chang, T., Chen, K.: Electrical and reliability investigation of Cu-to-Cu bonding with silver passivation layer in 3-D integration. IEEE Trans. Comp. Packag. Manuf. Technol. 11, 36–42 (2021). https://doi.org/10.1109/TCPMT.2020.3037365

    Article  Google Scholar 

  82. Huang, Y.P., Chien, Y.S., Tzeng, R.N., Shy, M.S., Lin, T.H., Chen, K.H., Chiu, C.T., Chiou, J.C., Chuang, C.T., Hwang, W., Tong, H.M., Chen, K.N.: Novel Cu-to-Cu bonding with Ti passivation at 180 °C in 3-D integration. IEEE Electron. Dev. Lett. 34(12), 1551–1553 (2013). https://doi.org/10.1109/LED.2013.2285702

    Article  Google Scholar 

  83. Panigrahi, A.K., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Oxidation resistive, CMOS compatible copper based alloy ultrathin films as a superior passivation mechanism for achieving 150 °C Cu–Cu wafer on wafer thermocompression bonding. IEEE Tans. Electron Dev. 64, 1239–1245 (2017). https://doi.org/10.1109/TED.2017.2653188

    Article  Google Scholar 

  84. Panigrahi, A.K., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Demonstration of sub 150 °C Cu–Cu thermocompression bonding for 3D IC applications, utilizing an ultra-thin layer of Manganin alloy as an effective surface passivation layer. Mater. Lett. 194, 86–89 (2017). https://doi.org/10.1016/j.matlet.2017.02.041

    Article  Google Scholar 

  85. Panigrahi, A.K., Kumar, C.H., Bonam, S., Ghosh, T., Vanjari, S.R.K., Singh, S.G.: Optimized ultra-thin manganin alloy passivated fine-pitch damascene compatible bump-less Cu–Cu bonding at sub 200 °C for three-dimensional Integration applications. Jpn. J. Appl. Phys. 57, 02BC04 (2018). https://doi.org/10.7567/JJAP.57.02BC04

    Article  Google Scholar 

  86. Hong, Z.J., Liu, D., Hu, H.W., Cho, C.I., Weng, M.W., Liu, J.H., Chen, K.N.: Investigation of bonding mechanism for low-temperature Cu–Cu bonding with passivation layer. Appl. Surf. Sci. 592, 1–7 (2022). https://doi.org/10.1016/j.apsusc.2022.153243

    Article  Google Scholar 

  87. Yang, Y., Chou, T., Yu, T., Chang, Y., Huang, T., Yang, K., Ko, C., Chen, Y., Tseng, T., Chen, K.: Low-temperature Cu–Cu direct bonding using pillar–concave structure in advanced 3-D heterogeneous integration. IEEE Trans. Comp. Packag. Manuf. Technol. 7, 1560–1566 (2017). https://doi.org/10.1109/TCPMT.2017.2720468

    Article  Google Scholar 

  88. Elsherbini, A., Liff, S., Swan, J., Jun, K., Tiagaraj, S., Pasdast, G.: Hybrid bonding interconnect for advanced heterogeneously integrated processors. In: IEEE 71st ECTC, pp. 1014–1019 (2022). https://doi.org/10.1109/ECTC32696.2021.00166

  89. Mirkarimi, L., Uzoh, C., Suwito, D., Lee, B., Fountain, G., Workman, T., Theil, J., Gao, G., Buckalew, B., Oberst, J., Ponnuswamy, T.: The influence of Cu microstructure on thermal budget in hybrid bonding. In: IEEE 72nd ECTC, pp. 162–167 (2022). https://doi.org/10.1109/ECTC51906.2022.00036

  90. Sitaraman, S., Jiang, L., Dag, S., Masoomi, M., Wang, Y., Lianto, P., An, J., Wang, R., See, G., Sundarrajan, A., Bazizi, E.M., Ayyagari-Sangamalli, B.: A holistic development framework for hybrid bonding. In: IEEE 72nd ECTC, pp. 691–700 (2022). https://doi.org/10.1109/ECTC51906.2022.00116

  91. Jouve, A., Balan, V., Bresson, N., Euvrard-Colnat, C., Fournel, F., Exbrayat, Y., Mauguen, G., Abdel Sater, M., Beitia, C., Arnaud, L., Cheramy, S., Lhostis, S., Farcy, A., Guillaumet, S., Mermoz, S.: 1μm Pitch direct hybrid bonding with < 300 nm wafer-to-wafer overlay accuracy. IEEE S3S, 1–2 (2018). https://doi.org/10.1109/S3S.2017.8309213

    Article  Google Scholar 

  92. Sakuma, K., Yu, R., Belyansky, M., Bergendahl, M.A., Gomez, J.M., Skordas, S., Knickerbocker, J., McHerron, D., Li, M., Cheung, Y.M., Cheung So, S., Kwok, S.Y., Ho Fan, C., Lau, S.W.: Surface energy characterization for die-level Cu hybrid bonding. In: IEEE 72nd ECTC, pp. 312–316 (2022). https://doi.org/10.1109/ECTC51906.2022.00058

  93. Naffziger, S., Beck, N., Burd, T., Lepak, K., Loh, G.H., Subramony, M., White, S.: Pioneering chiplet technology and design for the AMD EPYCTM and RyzenTM processor families: Industrial product. In: IEEE 48th ISCA, pp. 57–70 (2021). https://doi.org/10.1109/ISCA52012.2021.00014

  94. Bond, A., Bourjot, E., Borel, S., Enot, T., Montmeat, P., Sanchez, L., Fournel, F., Swan, J.: Collective die-to-wafer self-assembly for high alignment accuracy and high throughput 3D integration. In: IEEE 72nd ECTC, pp. 168–176 (2022). https://doi.org/10.1109/ECTC51906.2022.00037

  95. Wang, C.T., Lin, C.C., Lu, C.H., Chen, W.T., Tsai, C.H., Yu, D.C.H.: SoIC_H technology for heterogenous system integration. In: VLSI-TSA, pp. 258–259 (2022). https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830182

  96. Park, J., Lee, B., Lee, H., Lim, D., Kang, J., Cho, C., Na, M., Jin, I.: Wafer to wafer hybrid bonding for DRAM applications. In: IEEE 72nd ECTC, pp. 126–129 (2022). https://doi.org/10.1109/ECTC51906.2022.00030

  97. Shirasaka, T., Okuda, T., Shibata, T., Yoneda, S., Matsukawa, D., Mariappan, M., Koyanagi, M., Fukushima, T.: Comprehensive study on advanced chip on wafer hybrid bonding with copper/polyimide systems. In: IEEE 72nd ECTC, pp. 317–323 (2022). https://doi.org/10.1109/ECTC51906.2022.00059

  98. Theil, J.A., Workman, T., Suwito, D., Mirkarimi, L., Fountain, G., Bang, K.M., Gao, G., Lee, B., Mrozek, P., Uzoh, C., Huynh, M., Zhao, O.: Analysis of die edge bond pads in hybrid bonded multi-die stacks. In: IEEE 72nd ECTC, pp. 130–136 (2022). https://doi.org/10.1109/ECTC51906.2022.00031

  99. Hu, Z., Qu, X., Lin, H., Huang, R., Ge, X., Li, M., Chen, S., Zhao, Y.: Cu CMP process development and characterization of Cu dishing with 1.8 μm Cu pad and 3.6 μm pitch in Cu/SiO2 hybrid bonding. Jpn. J. Appl. Phys. 58, SHHC01 (2019). https://doi.org/10.7567/1347-4065/ab17c4

    Article  Google Scholar 

  100. Rim, D., Lee, B., Park, J., Cho, C., Kang, J., Jin, I.: The wafer bonding yield improvement through control of SiCN Film composition and Cu pad shape. In: IEEE 72nd ECTC, pp. 674–678 (2022). https://doi.org/10.1109/ECTC51906.2022.00113

  101. Workman, T., Mirkarimi, L., Theil, J., Foumain, G., Bang, K., Lee, B., Uzoh, C., Suwito, D., Gao, G., Mrozek, P.: Die to wafer hybrid bonding and fine pitch considerations. In: IEEE 71st ECTC, pp. 2071–2077 (2021). https://doi.org/10.1109/ECTC32696.2021.00326

  102. Enquist, P., Fountain, G., Petteway, C., Hollingsworth, A., Grady, H.: Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications. In: IEEE International Conference on 3D System Integration, vol. 919, pp. 1–6 (2009). https://doi.org/10.1109/3DIC.2009.5306533

  103. Gao, G., Mirkarimi, L., Workman, T., Fountain, G., Theil, J., Guevara, G., Liu, P., Lee, B., Mrozek, P., Huynh, M., Rudolph, C., Werner, T., & Hanisch, A.: Low temperature Cu interconnect with chip to wafer hybrid bonding. In: IEEE 69th ECTC, pp. 628–635 (2019). https://doi.org/10.1109/ECTC.2019.00100

  104. Liu, Z., Cai, J., Wang, Q., Tan, L., Hua, Y.: Low temperature Cu–Cu bonding using Ag nanostructure for 3D integration. ECS Solid State Lett. 4, 75–76 (2015). https://doi.org/10.1149/2.0061510ssl

    Article  Google Scholar 

  105. Rudolph, C., Hamisch, A., Voigrlander, M., Cansauer, P., Wachsmuth, H., Kuttler, S., Wittler, O., Werner, T., Panchenko, I., Wolf, M.J.: Enabling D2W/D2D hybrid bonding on manufacturing equipment based on simulated process parameters. In: IEEE 71st ECTC, pp. 40–44 (2021). https://doi.org/10.1109/ECTC32696.2021.00018

  106. Lin Ji, L., Che, F.X., Ji, H.M., Li, H.Y., Kawano, M.: Wafer-to-wafer hybrid bonding development by advanced finite element modeling for 3-D IC packages. IEEE Trans. Compon. Packag. Manuf. Technol. 10, 2106–2117 (2020). https://doi.org/10.1109/TCPMT.2020.3035652

    Article  Google Scholar 

  107. Kagawa, Y., Kamibayashi, T., Yamano, Y., Nishio, K., Sakamoto, A., Yamada, T., Shimizu, K., Hirano, T., Iwamoto, H.: Development of face-to-face and face-to-back ultra-fine pitch Cu–Cu hybrid bonding. In: IEEE 72nd ECTC, pp. 306–311 (2022). https://doi.org/10.1109/ECTC51906.2022.00057

  108. Beilliard, Y., Estevez, R., Parrya, G., McGarry, P., Di Cioccio, L., Coudrain, P.: Thermomechanical finite element modeling of Cu–SiO2 direct hybrid bonding with a dishing effect on Cu surfaces. Int. J. Solids Struct. 117, 208–220 (2017). https://doi.org/10.1016/j.ijsolstr.2016.02.041

    Article  Google Scholar 

  109. Fukushima, T., Hashiguchi, H., Yonekura, H., Kino, H., Murugesan, M., Bea, J.C., Lee, K.W., Tanaka, T., Koyanagi, M.: Oxide-oxide thermocompression direct bonding technologies with capillary self-assembly for multichip-to-wafer heterogeneous 3D system integration. Micromachines 7, 10 (2016). https://doi.org/10.3390/mi7100184

    Article  Google Scholar 

  110. Inoue, F., Peng, L., Iacovo, S., Phommahaxay, A., Verdonck, P., Meersschaut, J., Dara, P., Sleeckx, E., Miller, A., Beyer, G., Beyne, E.: Influence of composition of SiCN as interfacial layer on plasma activated direct bonding. ECS J. Solid State Sci. Technol. 8(6), 346–350 (2019). https://doi.org/10.1149/2.0241906jss

    Article  Google Scholar 

  111. Beyne, E., Kim, S., Peng, L., Heylen, N., Messemaeker, J. De, Okudur, O.O., Phommahaxay, A., Kim, T., Stucchi, M., Velenis, D., Miller, A., Beyer, G.: Scalable , sub 2 μm Pitch, Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology. In: IEEE IEDM, pp. 729–732 (2017). https://doi.org/10.1109/IEDM.2017.8268486

  112. Kim, S.W., Fodor, F., Heylen, N., Iacovo, S., De Vos, J., Miller, A., Beyer, G., Beyne, E.: Novel Cu/SiCN surface topography control for 1 μm pitch hybrid wafer-to-wafer bonding. In: IEEE 70th ECTC, pp. 216–222 (2020). https://doi.org/10.1109/ECTC32862.2020.00046

  113. Sakuma, K., Yu, R., Belyansky, M., Bergendahl, M.A., Gomez, J., Knickerbocker, J., Mcherron, D., Li, M., Cheung, Y.M., So, S.C.: Surface energy characterization for die-level Cu hybrid bonding. In: IEEE 72nd ECTC, pp. 312–316 (2022). https://doi.org/10.1109/ECTC51906.2022.00058

  114. Kang, Q., Wang, C., Zhou, S., Li, G., Lu, T., Tian, Y., He, P.: Low-temperature co-hydroxylated Cu/SiO2 hybrid bonding strategy for a memory-centric chip architecture. ACS Appl. Mater. Interfaces 13(32), 38866–38876 (2021). https://doi.org/10.1021/acsami.1c09796

    Article  Google Scholar 

  115. Kang, Q., Li, G., Li, Z., Tian, Y., Wang, C.: Surface co-hydrophilization via ammonia inorganic strategy for low-temperature Cu/SiO2 hybrid bonding. J. Mater. Sci. Technol. 149, 161–166 (2023). https://doi.org/10.1016/j.jmst.2022.12.012

    Article  Google Scholar 

  116. Shie, K.C., He, P.S., Kuo, Y.H., Ong, J.J., Tu, K.N., Lin, B.T.H., Chang, C.C., Chen, C.: Hybrid bonding of nanotwinned copper/organic dielectrics with low thermal budget. In: IEEE 71st ECTC, pp. 432–437 (2021). https://doi.org/10.1109/ECTC32696.2021.00079

  117. Lee, K.W., Nakamura, T., Ono, T., Yamada, Y., Mizukusa, T., Hashimoto, H., Park, K.T., Kurino, H., Koyanagi, M.: Three-dimensional shared memory fabricated using wafer stacking technology. In: IEEE IEDM, pp. 165–167 (2000). https://doi.org/10.1109/IEDM.2000.904284

  118. Koyanagi, M., Nakamura, T., Yamada, Y., Kikuchi, H., Fukushima, T., Tanaka, T., Kurino, H.: Three-dimensional integration technology based on wafer bonding with vertical buried interconnections. In: IEEE TED, pp. 2799–2808 (2006). https://doi.org/10.1109/TED.2006.884079

  119. Kagawa, Y., Fujii, N., Aoyagi, K., Kobayashi, Y., Nishi, S., Todaka, N., Takeshita, S., Taura, J., Takahashi, H., Nishimura, Y., Tatani, K., Kawamura, M., Nakayama, H., Nagano, T., Ohno, K., Iwamoto, H., Kadomura, S., Hirayama, T.: Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding. In: IEEE IEDM, pp. 8.4.1–8.4.4. (2016). https://doi.org/10.1109/IEDM.2016.7838375

  120. Tsugawa, H., Takahashi, H., Nakamura, R., Umebayashi, T., Ogita, T., Okano, H., Iwase, K., Kawashima, H.: Pixel/DRAM/logic 3-layer stacked CMOS image sensor technology. In: IEEE IEDM, pp. 56–59 (2017). https://doi.org/10.1109/IEDM.2017.8268317

  121. Chen, M.F., Lin, C.S., Liao, E.B., Chiou, W.C., Kuo, C.C., Hu, C.C., Tsai, C.H., Wang, C.T., Yu, D.: SoIC for low-temperature, multi-layer 3D memory integration. In: IEEE 70th ECTC, pp. 855–860 (2020). https://doi.org/10.1109/ECTC32862.2020.00139

  122. Gao, G., Theil, J., Fountain, G., Workman, T., Guevara, G., Uzoh, C., Suwito, D., Lee, B., Bang, K.M., Katkar, R., Mirkarimi, L.: Die to wafer hybrid bonding: multi-die stacking with TSV integration. In: IEEE IWLPC (2020). https://doi.org/10.23919/IWLPC52010.2020.9375884

  123. Kim, J., Seo, S.K., Kim, H., Kim, Y., Jo, C., Kim, D.W.: A study on bonding pad structure and layout for Fine pitch hybrid bonding. In: IEEE 72nd ECTC, pp. 712–715 (2022). https://doi.org/10.1109/ECTC51906.2022.00119

  124. Varpula, A., Suni, T., Dekker, J.R.: Nondestructive characterization of fusion and plasma activated wafer bonding using mesa and recess structures. ECS J. Solid State Sci. Technol. 4(2), 42–52 (2015). https://doi.org/10.1149/2.0121502jss

    Article  Google Scholar 

  125. Li, G., Kang, Q., Niu, F., Wang, C.: Recent progress on bumpless Cu/SiO2 hybrid bonding for 3D heterogeneous integration. Microelectron. Int. (2022). https://doi.org/10.1108/MI-07-2022-0121

    Article  Google Scholar 

  126. Moreau, S., Jourdon, J., Lhostis, S., Bouchu, D., Ayoub, B., Arnaud, L., Frémont, H.: Review—hybrid bonding-based interconnects: a status on the last robustness and reliability achievements. ECS J. Solid State Sci. Technol. 11, 024001 (2022). https://doi.org/10.1149/2162-8777/ac4ffe

    Article  Google Scholar 

  127. IEEE EPS, Heterogeneous Integration Roadmap (HIR): Chapter 22: Interconnects for 2D and 3D Architectures. Heterogeneous Integration Roadmap (2021)

  128. Lee, E.L., Goh, Y.S., Haseeb, A.S.M.A., Wong, Y.H., Mohd Sabri, M.F., Low, B.Y.: Review—electrochemical migration in electronic materials: factors affecting the mechanism and recent strategies for inhibition. J. Electrochem. Soc. 170(2), 21505 (2023). https://doi.org/10.1149/1945-7111/acb61a

    Article  Google Scholar 

  129. Chen, Y.H., Yang, C.A., Kuo, C.C., Chen, M.F., Tung, C.H., Chiou, W.C., Yu, D.: Ultra high density SoIC with sub-micron bond pitch. In: IEEE 70th ECTC, pp. 576–581 (2020). https://doi.org/10.1109/ECTC32862.2020.00096

Download references

Acknowledgements

This research was supported by the National R&D Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science and ICT (no. 2022M3I7A4072293 and no. 2018M3A7B4089670) and partially supported by a Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea Government (MOTIE) (P0008458, HRD Program for Industrial Innovation).

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to In-Suk Choi or Sarah Eunkyung Kim.

Ethics declarations

Conflict of interest

The authors declare no conflict of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Lee, YG., McInerney, M., Joo, YC. et al. Copper Bonding Technology in Heterogeneous Integration. Electron. Mater. Lett. 20, 1–25 (2024). https://doi.org/10.1007/s13391-023-00433-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13391-023-00433-4

Keywords

Navigation