Skip to main content
Log in

A survey on machine and deep learning in semiconductor industry: methods, opportunities, and challenges

  • Published:
Cluster Computing Aims and scope Submit manuscript

Abstract

The technology of big data analysis and artificial intelligence deep learning has been actively cross-combined with various fields to increase the effect of its original low single field. Precision components commonly used in electronic products use changes in the conductivity of semiconductors to process information. This study aims to review key milestones and recent developments in the semiconductor industry using artificial intelligence methods. For this systematic review, we searched academic networks between 2015 and 2022, including Nature, Elsevier, Springer, Taylor & Francis Online, Multidisciplinary Digital Publishing Institute, and the Institute of Electrical and Electronics Engineers. The literature reviewed is based on conference proceedings and journal articles, specifically covering the key achievements of the discussion paper, the key technologies used, experimental results, opportunities, and future research pathways. After searching on an academic website, we selected six major studies. In five of these studies, visual object detection, surface defect detection, machine production scheduling application, fault diagnosis and prediction, and monitoring of the manufacturing process were made using artificial neural networks, machine learning methods, and hybrid models. In addition, the studies covered independent, single methods or used more than two types of technologies for performance comparison. Finally, we reviewed the strengths and weaknesses of the literature. We also analysed various datasets, acquisition systems, and experimental scenarios. The review shows that as the number of studies conducted in manufacturing continues to increase, more research is needed to unearth key information that is often overlooked, all of which are challenges in refining science and overcoming real-world scenarios.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5

Similar content being viewed by others

Data availability

Enquiries about data availability should be directed to the authors.

References

  1. Kotsiopoulos, T., Sarigiannidis, P., Ioannidis, D., Tzovaras, D.: Machine learning and deep learning in smart manufacturing: the smart grid paradigm. Comput. Sci. Rev. 1(40), 100341 (2021)

    MathSciNet  Google Scholar 

  2. Cheng, Q., Kwon, J., Glick, M., Bahadori, M., Carloni, L.P., Bergman, K.: Silicon photonics codesign for deep learning. Proc. IEEE. 108(8), 1261–1282 (2020)

    Google Scholar 

  3. Theis, T.N., Wong, H.S.: The end of Moore’s law: a new beginning for information technology. Comput. Sci. Eng. 19(2), 41–50 (2017)

    Google Scholar 

  4. Semiconductor industry association, state of the U.S. semiconductor industry. https://www.semiconductors.org/state-of-the-u-s-semiconductor-industry/ (2021)

  5. Takiguchi, T., Takarada, Y., Fukada, T., Sugiyama, S., Yoshimura, K.: Lithography tool improvement at productivity and performance with data analysis and machine learning. InPhotomask Technology, vol. 11855, pp. 98–106. SPIE (2021)

  6. Hamilton, J.A., Pugh, T., Johnson, A.L., Kingsley, A.J., Richards, S.P.: Cobalt(I) olefin complexes: precursors for metal-organic chemical vapor deposition of high purity cobalt metal thin films. Inorg. Chem. 55(14), 7141–7151 (2016)

    Google Scholar 

  7. Speransky, S.K., Rodionov, I.V., Speransky, K.S.: Modeling the process of physical vapor deposition. In: 2018 International Conference on Actual Problems of Electron Devices Engineering (APEDE), Sep 27 pp. 276–279. IEEE (2018)

  8. Vishnu, T.V., Gupta, P., Malhotra, P., Vig, L., Shroff, G.: Recurrent neural networks for online remaining useful life estimation in ion mill etching system. In: Proceedings of the Annual Conference of the PHM Society, Philadelphia, Sep 22 vol. 22 (2018)

  9. Chang, Y.F., Lee, H.J., Chou, F.H., Lee, S.C., Chung, Y.A., Lian, N.T., Han, T.T., Yang, T., Chen, K.C., Lu, C.Y.: Machine learning assists on high aspect ratio slit trench etching in 3D NAND. In: 2022 33rd annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), May 2 pp. 1–4. IEEE (2022)

  10. Jia, X., Di, Y., Feng, J., Yang, Q., Dai, H., Lee, J.: Adaptive virtual metrology for semiconductor chemical mechanical planarization process using GMDH-type polynomial neural networks. J. Process Control. 62, 44–54 (2018)

    Google Scholar 

  11. Feng, C., Lan, X.: Material removal model of chemical mechanical polishing based on genetic algorithm and neural network optimization. In: 2022 IEEE 2nd International Conference on Mobile Networks and Wireless Communications (ICMNWC), Dec 2 pp. 1–5. IEEE (2022)

  12. American congress government 116th Congress, Creating Helpful Incentives to Produce Semiconductors for America Act or the CHIPS for America Act. https://www.congress.gov/bill/116th-congress/senate-bill/3933 (2020)

  13. Congress US. United States Innovation and Competition Act of 2021. In: S. 1260. 117th Congress, Passed Senate June vol. 8, p. 2021 (2021)

  14. Zheng, X., Zheng, S., Kong, Y., Chen, J.: Recent advances in surface defect inspection of industrial products using deep learning techniques. Int. J. Adv. Manuf. Technol. 113(1), 35–58 (2021)

    Google Scholar 

  15. Wuest, T., Weimer, D., Irgens, C., Thoben, K.D.: Machine learning in manufacturing: advantages, challenges, and applications. Product. Manuf. Res. 4(1), 23–45 (2016)

    Google Scholar 

  16. Espadinha-Cruz, P., Godina, R., Rodrigues, E.M.: A review of data mining applications in semiconductor manufacturing. Processes. 9(2), 305 (2021)

    Google Scholar 

  17. Weichert, D., Link, P., Stoll, A., Rüping, S., Ihlenfeldt, S., Wrobel, S.: A review of machine learning for the optimization of production processes. Int. J. Adv. Manuf. Technol. 104(5), 1889–1902 (2019)

    Google Scholar 

  18. de la López, R., Sánchez-Reolid, R., Gómez-Sirvent, J.L., Morales, R.: A review on machine and deep learning for semiconductor defect classification in scanning electron microscope images. Appl. Sci. 11(20), 9508 (2021)

    Google Scholar 

  19. Batool, U., Shapiai, M.I., Tahir, M., Ismail, Z.H., Zakaria, N.J., Elfakharany, A.: A systematic review of deep learning for silicon wafer defect recognition. IEEE Access. 18(9), 116572–116593 (2021)

    Google Scholar 

  20. Sunny, F.P., Taheri, E., Nikdast, M., Pasricha, S.: A survey on silicon photonics for deep learning. ACM J. Emerging Technol. Comput. Syst. 17(4), 1–57 (2021)

    Google Scholar 

  21. Chen, P.C., Miao, W.C., Ahmed, T., Pan, Y.Y., Lin, C.L., Chen, S.C., Kuo, H.C., Tsui, B.Y., Lien, D.H.: Defect inspection techniques in SiC. Nanoscale Res. Lett. 17(1), 1–7 (2022)

    Google Scholar 

  22. Lingitz, L., Gallina, V., Ansari, F., Gyulai, D., Pfeiffer, A., Sihn, W., Monostori, L.: Lead time prediction using machine learning algorithms: a case study by a semiconductor manufacturer. Procedia Cirp. 1(72), 1051–1056 (2018)

    Google Scholar 

  23. Ademujimi, T.T., Brundage, M.P., Prabhu, V.V.: A review of current machine learning techniques used in manufacturing diagnosis. In: IFIP International Conference on Advances in Production Management Systems pp. 407–415. Springer, Cham Sep 3 (2017)

  24. Fernandes, M., Corchado, J.M., Marreiros, G.: Machine learning techniques applied to mechanical fault diagnosis and fault prognosis in the context of real industrial manufacturing use-cases: a systematic literature review. Appl. Intell. 4, 1–35 (2022)

    Google Scholar 

  25. Wu, M.J., Jang, J.S., Chen, J.L.: Wafer map failure pattern recognition and similarity ranking for large-scale data sets. IEEE Trans. Semicond. Manuf. 28(1), 1–12 (2015)

    Google Scholar 

  26. Tabernik, D., Šela, S., Skvarč, J., Skočaj, D.: Segmentation-based deep-learning approach for surface-defect detection. J. Intell. Manuf. 31(3), 759–776 (2020)

    Google Scholar 

  27. Torres, J.A.: ICCAD-2012 CAD contest in fuzzy pattern matching for physicalverification and benchmark suite. In: Proceeding of the ICCAD, pp. 349–350 (2012)

  28. Topaloglu, R.O.: ICCAD-2016 CAD contest in pattern classification for integrated circuit design space analysis and benchmark suite. In: Proceeding of the ICCAD, pp. 1–4 (2016)

  29. Nakazawa, T., Kulkarni, D.V.: Wafer map defect pattern classification and image retrieval using convolutional neural network. IEEE Trans. Semicond. Manuf. 31(2), 309–314 (2018)

    Google Scholar 

  30. Lin, T.Y., Maire, M., Belongie, S., Hays, J., Perona, P., Ramanan, D., Dollár, P., Zitnick, C.L.: Microsoft COCO: common objects in context. In: Fleet, D., Pajdla, T., Schiele, B., Tuytelaars, T. (eds.) ECCV 2014. LNCS, vol. 8693, pp. 740–755. Springer, Cham. https://doi.org/10.1007/978-3-319-10602-1_48 (2014)

  31. Nagwanshi, K., Sharma, V.: Dataset: defective photonic bandgap crystals using Finite Difference Time Domain (FDTD). IEEE DataPort. Available from: https://ieee-dataport.org/open-access/defective-photonic-bandgap-crystals-using-finite-difference-time-domain-fdtd (2020)

  32. Ferguson, M., Ak, R., Lee, Y.T., Law, K.H.: Detection and segmentation of manufacturing defects with convolutional neural networks and transfer learning. arXiv preprint arXiv:1808.02518. Aug 7 (2018)

  33. Dua, D.: UCI Machine Learning Repository. University of Califo, Irvine (2019)

    Google Scholar 

  34. Deng, L.: The MNIST database of handwritten digit images for machine learning research. IEEE Signal Process. Mag. 29(6), 141–142 (2012)

    Google Scholar 

  35. Deng, J., Dong, W., Socher, R., Li, L.J., Li, K., Fei-Fei, L.: Imagenet: a large-scale hierarchical image database. In: 2009 IEEE conference on computer vision and pattern recognition. Jun 20 pp. 248–255. IEEE (2009)

  36. Godwin, L.W., Brown, D., Livingston, R., Webb, T., Karriem, L., et al.: Open-source automated chemical vapor deposition system for the production of two-dimensional nanomaterials. PLoS ONE (2019). https://doi.org/10.1371/journal.pone.0210817

    Article  Google Scholar 

  37. PHM Data Challenges.: The Prognostics and Health Management Society PHM Society, https://drive.google.com/file/d/15Jx9Scq9FqpIGn8jbAQB_lcHSXvIoPzb/view/ (2018)

  38. Yuting, S., Hongxing, L.: A deep learning based dislocation detection method for cylindrical silicon growth process. Appl. Intell. 5, 1–6 (2022)

    Google Scholar 

  39. Houben, T., Huisman, T., Pisarenco, M., van der Sommen, F.: Depth estimation from a single SEM image using pixel-wise fine-tuning with multimodal data. Mach. Vis. Appl. 33(4), 1–6 (2022)

    Google Scholar 

  40. Pan, Y., Liao, H., Li, J., Liu, X., Zhu, W.: Improved image processing algorithms for microprobe final test. IEEE Trans. Compon. Pack. Manuf. Technol. 8(3), 499–505 (2018)

    Google Scholar 

  41. Qin, M., Shi, Z., Chen, W., Gao, S., Shi, L.: Wafer defect inspection optimization with partial coverage: a numerical approach. IEEE Trans. Autom. Sci. Eng. 18(4), 1916–1927 (2020)

    Google Scholar 

  42. Xu, J., Hu, H., Lei, Y., Liu, H.: A wafer prealignment algorithm based on Fourier transform and least square regression. IEEE Trans. Autom. Sci. Eng. 14(4), 1771–1777 (2017)

    Google Scholar 

  43. Meng, Y., Kim, Y.C., Guo, S., Shu, Z., Zhang, Y., Liu, Q.: Machine learning models for edge placement error based etch bias. IEEE Trans. Semicond. Manuf. 34(1), 42–48 (2020)

    Google Scholar 

  44. Hsu, P.N., Shie, K.C., Chen, K.P., Tu, J.C., Wu, C.C., Tsou, N.T., Lo, Y.C., Chen, N.Y., Hsieh, Y.F., Wu, M., Chen, C.: Artificial intelligence deep learning for 3D IC reliability prediction. Sci. Rep. 12(1), 1–7 (2022)

    Google Scholar 

  45. Shao, H.C., Peng, C.Y., Wu, J.R., Lin, C.W., Fang, S.Y., Tsai, P.Y., Liu, Y.H.: From IC layout to die photograph: a CNN-based data-driven approach. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 40(5), 957–970 (2020)

    Google Scholar 

  46. Geng, H., Yang, H., Zhang, L., Miao, J., Yang, F., Zeng, X., Yu, B.: Hotspot detection via attention-based deep layout metric learning. In: Proceedings of the 39th International Conference on Computer-Aided Design pp. 1–8 Nov 2 (2020)

  47. Yang, H., Su, J., Zou, Y., Yu, B., Young, E.F.: Layout hotspot detection with feature tensor generation and deep biased learning. IEEE TCAD 38(6), 1175–1187 (2019)

    Google Scholar 

  48. Jiang, Y., Yang, F., Zhu, H., Yu, B., Zhou, D., Zeng, X.: Efficient layout hotspot detection via binarized residual neural network. In: Proceeding of the DAC, pp. 1–6 (2019)

  49. Chen, Y., Lin, Y., Gai, T., Su, Y., Wei, Y., Pan, D.Z.: Semi-supervised hotspot detection with self-paced multi-task learning. In: Proceeding of the ASPDAC, pp. 420–425 (2019)

  50. Chen, J., Lin, Y., Guo, Y., Zhang, M., Alawieh, M.B., Pan, D.Z.: Lithography hotspot detection using a double inception module architecture. JM3 18(1), 013507 (2019)

    Google Scholar 

  51. Ji, B., Ameri, F., Choi, J., Cho, H.: Hybrid approach using ontology-supported case-based reasoning and machine learning for defect rate prediction. In: IFIP International Conference on Advances in Production Management Systems pp. 291–298. Springer, Cham (2019) Sep 1

  52. Cheng, K.C., Li, K.S., Huang, A.Y., Li, J.W., Chen, L.L., Tsai, N.C., Wang, S.J., Lee, C.S., Chou, L., Liao, P.Y., Liang, H.C.: Wafer-level test path pattern recognition and test characteristics for test-induced defect diagnosis. In 2020 Design, Automation and Test in Europe Conference and Exhibition (DATE) pp. 1710–1711. IEEE Mar 9 (2020)

  53. López de la Rosa, F., Gómez-Sirvent, J.L., Kofler, C., Morales, R., Fernández-Caballero, A.: Detection of Unknown defects in semiconductor materials from a hybrid deep and machine learning approach. In: International Work-Conference on the Interplay Between Natural and Artificial Computation pp. 356–365. Springer, Cham (2022)

  54. Taha, K.: An effective approach for associating the sources of defect signatures to process zones. IEEE Trans. Semicond. Manuf. 30(2), 176–184 (2017)

    Google Scholar 

  55. Wang, J., Yu, Z., Duan, Z., Lu, G.: A sub-region one-to-one mapping (SOM) detection algorithm for glass passivation parts wafer surface low-contrast texture defects. Multimedia Tools Appl. 80(19), 28879–28896 (2021)

    Google Scholar 

  56. Fan, S.K., Hsu, C.Y., Jen, C.H., Chen, K.L., Juan, L.T.: Defective wafer detection using a denoising autoencoder for semiconductor manufacturing processes. Adv. Eng. Inform. 1(46), 101166 (2020)

    Google Scholar 

  57. Yu, W., Zhang, Y., Shi, H.: Surface Defect inspection under a small training set condition. In: International Conference on Intelligent Robotics and Applications pp. 517–528. Springer, Cham Aug 8 (2019)

  58. Park, Y., Kang, K., Kim, S.: A visual inspection method based on periodic feature for wheel mark defect on wafer backside. In: International Conference on Computer Analysis of Images and Patterns pp. 219–D227. Springer, Cham Aug 22 (2017)

  59. Zhang, W., Qiao, T., Pang, Y., Yang, Y., Chen, H., Hao, G.: A novel defect diagnosis method for Kyropoulos process-based sapphire growth. IEEE Sens. J. 20(10), 5435–5441 (2020)

    Google Scholar 

  60. Mannodi-Kanakkithodi, A., Xiang, X., Jacoby, L., Biegaj, R., Dunham, S.T., Gamelin, D.R., Chan, M.K.: Universal machine learning framework for defect predictions in zinc blende semiconductors. Patterns 3(3), 100450 (2022)

    Google Scholar 

  61. Nagamura, Y., Ide, T., Arai, M., Fukumoto, S.: CNN-based layout segment classification for analysis of layout-induced failures. IEEE Trans. Semicond. Manuf. 33(4), 597–605 (2020)

    Google Scholar 

  62. Kang, D., Han, Y., Zhu, J., Lai, J.: An axially decomposed self-attention network for the precise segmentation of surface defects on printed circuit boards. Neural Comput. Appl. 5, 1–6 (2022)

    Google Scholar 

  63. Lu, H.P., Su, C.T.: CNNs combined with a conditional GAN for mura defect classification in TFT-LCDs. IEEE Trans. Semicond. Manuf. 34(1), 25–33 (2021)

    Google Scholar 

  64. Lu, H.P., Su, C.T., Yang, S.Y., Lin, Y.P.: Combination of convolutional and generative adversarial networks for defect image demoiréing of thin-film transistor liquid-crystal display image. IEEE Trans. Semicond. Manuf. 33(3), 413–423 (2020)

    Google Scholar 

  65. Arena, S., Bodrov, Y., Carletti, M., Gentner, N., Maggipinto, M., Yang, Y., Beghi, A., Kyek, A., Susto, G.A.: Exploiting 2D coordinates as Bayesian priors for deep learning defect classification of SEM images. IEEE Trans. Semicond. Manuf. 34(3), 436–439 (2021)

    Google Scholar 

  66. O’Leary, J., Sawlani, K., Mesbah, A.: Deep learning for classification of the chemical composition of particle defects on semiconductor wafers. IEEE Trans. Semicond. Manuf. 33(1), 72–85 (2020)

    Google Scholar 

  67. Imoto, K., Nakai, T., Ike, T., Haruki, K., Sato, Y.: A CNN-based transfer learning method for defect classification in semiconductor manufacturing. In: 2018 international symposium on semiconductor manufacturing (ISSM) pp. 1–3. IEEE Dec 10 (2018)

  68. Wen, G., Gao, Z., Cai, Q., Wang, Y., Mei, S.: A novel method based on deep convolutional neural networks for wafer semiconductor surface defect inspection. IEEE Trans. Instrum. Measure. 69(12), 9668–9680 (2020)

    Google Scholar 

  69. Kim, E.S., Choi, S.H., Lee, D.H., Kim, K.J., Bae, Y.M., Oh, Y.C.: An oversampling method for wafer map defect pattern classification considering small and imbalanced data. Comput. Ind. Eng. 1(162), 107767 (2021)

    Google Scholar 

  70. Cheon, S., Lee, H., Kim, C.O., Lee, S.H.: Convolutional neural network for wafer surface defect classification and the detection of unknown defect class. IEEE Trans. Semicond. Manuf. 32(2), 163–170 (2019)

    Google Scholar 

  71. Jang, C., Yun, S., Hwang, H., Shin, H., Kim, S., Park, Y.: A defect inspection method for machine vision using defect probability image with deep convolutional neural network. In: Asian Conference on Computer Vision pp. 142–154. Springer, Cham Dec 2 (2018)

  72. Kim, J., Nam, Y., Kang, M.C., Kim, K., Hong, J., Lee, S., Kim, D.N.: Adversarial defect detection in semiconductor manufacturing process. IEEE Trans. Semicond. Manuf. 34(3), 365–371 (2021)

    Google Scholar 

  73. Kang, H., Kang, S.: A stacking ensemble classifier with handcrafted and convolutional features for wafer map pattern classification. Comput. Ind. 1(129), 103450 (2021)

    Google Scholar 

  74. Shim, J., Kang, S., Cho, S.: Active cluster annotation for wafer map pattern classification in semiconductor manufacturing. Expert Syst. Appl. 30(183), 115429 (2021)

    Google Scholar 

  75. Saqlain, M., Jargalsaikhan, B., Lee, J.Y.: A voting ensemble classifier for wafer map defect patterns identification in semiconductor manufacturing. IEEE Trans. Semicond. Manuf. 32(2), 171–182 (2019)

    Google Scholar 

  76. Wang, S., Zhong, Z., Zhao, Y., Zuo, L.: A variational autoencoder enhanced deep learning model for wafer defect imbalanced classification. IEEE Trans. Compon. Pack. Manuf. Technol. 11(12), 2055–2060 (2021)

    Google Scholar 

  77. Yu, J., Liu, J.: Two-dimensional principal component analysis-based convolutional autoencoder for wafer map defect detection. IEEE Trans. Ind. Electron. 68(9), 8789–8797 (2020)

    Google Scholar 

  78. Kyeong, K., Kim, H.: Classification of mixed-type defect patterns in wafer bin maps using convolutional neural networks. IEEE Trans. Semicond. Manuf. 31(3), 395–402 (2018)

    Google Scholar 

  79. Yoon, S., Kang, S.: Semi-automatic wafer map pattern classification with convolutional neural networks. Comput. Ind. Eng.. 1(166), 107977 (2022)

    Google Scholar 

  80. Kong, Y., Ni, D.: Recognition and location of mixed-type patterns in wafer bin maps. In: 2019 IEEE International Conference on Smart Manufacturing, Industrial & Logistics Engineering (SMILE) pp. 4–8. IEEE, Apr 20 (2019)

  81. Tao, X., Gong, X., Zhang, X., Yan, S., Adak, C.: deep learning for unsupervised anomaly localization in industrial images: a survey. In: IEEE Transactions on Instrumentation and Measurement. Aug 4 (2022)

  82. Shawon, A., Faruk, M.O., Habib, M.B., Khan, A.M.: Silicon wafer map defect classification using deep convolutional neural network with data augmentation. In: 2019 IEEE 5th International Conference on Computer and Communications (ICCC) pp. 1995–1999. IEEE Dec 6 (2019)

  83. Jang, J., Seo, M., Kim, C.O.: Support weighted ensemble model for open set recognition of wafer map defects. IEEE Trans. Semicond. Manuf. 33(4), 635–643 (2020)

    Google Scholar 

  84. Wang, J., Xu, C., Yang, Z., Zhang, J., Li, X.: Deformable convolutional networks for efficient mixed-type wafer defect pattern recognition. IEEE Trans. Semicond. Manuf. 33(4), 587–596 (2020)

    Google Scholar 

  85. Zhang, Q., Zhang, Y., Li, J., Li, Y.: WDP-BNN: efficient wafer defect pattern classification via binarized neural network. Integration 1(85), 76–86 (2022)

    Google Scholar 

  86. Yu, N., Xu, Q., Wang, H.: Wafer defect pattern recognition and analysis based on convolutional neural network. IEEE Trans. Semicond. Manuf. 32(4), 566–573 (2019)

    Google Scholar 

  87. Yu, J., Liu, J.: Multiple granularities generative adversarial network for recognition of wafer map defects. IEEE Trans. Ind. Inform. 18(3), 1674–1683 (2021)

    Google Scholar 

  88. Yu, J., Shen, Z., Wang, S.: Wafer map defect recognition based on deep transfer learning-based densely connected convolutional network and deep forest. Eng. Appl. Artif. Intell. 1(105), 104387 (2021)

    Google Scholar 

  89. Wang, J., Yang, Z., Zhang, J., Zhang, Q., Chien, W.T.: AdaBalGAN: an improved generative adversarial network with imbalanced learning for wafer defective pattern recognition. IEEE Trans. Semicond. Manuf. 32(3), 310–319 (2019)

    Google Scholar 

  90. Yu, J., Li, S., Shen, Z., Wang, S., Liu, C., Li, Q.: Deep transfer Wasserstein adversarial network for wafer map defect recognition. Comput. Ind. Eng.. 1(161), 107679 (2021)

    Google Scholar 

  91. Kim, J.S., Jang, S.J., Kim, T.W., Lee, H.J., Lee, J.B.: A productivity-oriented wafer map optimization using yield model based on machine learning. IEEE Trans. Semicond. Manuf. 32(1), 39–47 (2018)

    Google Scholar 

  92. Park, I.B., Huh, J., Kim, J., Park, J.: A reinforcement learning approach to robust scheduling of semiconductor manufacturing facilities. IEEE Trans. Autom. Sci. Eng. 17(3), 1420–1431 (2019)

    Google Scholar 

  93. Nalbach, O., Linn, C., Derouet, M., Werth, D.: Predictive quality: towards a new understanding of quality assurance using machine learning tools. In: International Conference on Business Information Systems pp. 30–42. Springer, Cham, Jul 18 (2018)

  94. Fang, X., Chang, C., Liu, G.: Using Bayesian network technology to predict the semiconductor manufacturing yield rate in IoT. J. Supercomput. 77(8), 9020–9045 (2021)

    Google Scholar 

  95. Chiu, C.C., Lai, C.M., Chen, C.M.: An evolutionary simulation-optimization approach for the problem of order allocation with flexible splitting rule in semiconductor assembly. Appl. Intell. 11, 1–23 (2022)

    Google Scholar 

  96. Wang, J., Zhang, J., Wang, X.: A data driven cycle time prediction with feature selection in a semiconductor wafer fabrication system. IEEE Trans. Semicond. Manuf. 31(1), 173–182 (2018)

    Google Scholar 

  97. Ren, J.C., Liu, D., Wan, Y.: Model-free adaptive iterative learning control method for the Czochralski silicon monocrystalline batch process. IEEE Trans. Semicond. Manuf. 34(3), 398–407 (2021)

    Google Scholar 

  98. Sakr, A.H., Aboelhassan, A., Yacout, S., Bassetto, S.: Simulation and deep reinforcement learning for adaptive dispatching in semiconductor manufacturing systems. J. Intell. Manuf. 8, 1–4 (2021)

    Google Scholar 

  99. Tsai, Y.C., Pang, J., Chou, F.D.: Modeling and scheduling for the clean operation of semiconductor manufacturing. In: International Symposium on Intelligence Computation and Applications pp. 488–496. Springer, Singapore. Nov 16 (2019)

  100. Qiao, Y., Lu, Y., Li, J., Zhang, S., Wu, N., Liu, B.: An efficient binary integer programming model for residency time-constrained cluster tools with chamber cleaning requirements. In: IEEE Transactions on Automation Science and Engineering. Dec 8 (2021)

  101. Yu, T.S., Kim, H.J., Lee, T.E.: Scheduling single-armed cluster tools with chamber cleaning operations. IEEE Trans. Autom. Sci. Eng. 15(2), 705–716 (2017)

    Google Scholar 

  102. Lee, T.G., Yu, T.S., Lee, T.E.: Cleaning plan optimization for dual-armed cluster tools with general chamber cleaning periods. In: IEEE Transactions on Automation Science and Engineering. Jul 13 (2022)

  103. Kokyay, S., Kilinc, E., Uysal, F., Kurt, H., Celik, E., Dugenci, M.: A prediction model of artificial neural networks in development of thermoelectric materials with innovative approaches. Eng. Sci. Technol. Int. J. 23(6), 1476–1485 (2020)

    Google Scholar 

  104. Pugalenthi, K., Park, H., Raghavan, N.: Prognosis of power MOSFET resistance degradation trend using artificial neural network approach. Microelectron. Reliab. 1(100), 113467 (2019)

    Google Scholar 

  105. Chen, Y.J., Lee, Y.H., Chiu, M.C.: Construct an intelligent yield alert and diagnostic analysis system via data analysis: Empirical study of a semiconductor foundry. In: IFIP International Conference on Advances in Production Management Systems pp. 394-401. Springer, Cham, Aug 26 (2018)

  106. Nuhu, A.A., Zeeshan, Q., Safaei, B., Shahzad, M.A.: Machine learning-based techniques for fault diagnosis in the semiconductor manufacturing process: a comparative study. J. Supercomput. 6, 1–51 (2022)

    Google Scholar 

  107. Hsieh, T.J.: A micro-view-based data mining approach to diagnose the aging status of heating coils. Knowl.-Based Syst. 1(143), 10–18 (2018)

    Google Scholar 

  108. Fan, S.K., Cheng, C.W., Tsai, D.M.: Fault diagnosis of wafer acceptance test and chip probing between front-end-of-line and back-end-of-line processes. In: IEEE Transactions on Automation Science and Engineering. Aug 31 (2021)

  109. Fan, S.K., Hsu, C.Y., Tsai, D.M., He, F., Cheng, C.C.: Data-driven approach for fault detection and diagnostic in semiconductor manufacturing. IEEE Trans. Autom. Sci. Eng. 17(4), 1925–1936 (2020)

    Google Scholar 

  110. Zhakov, A., Zhu, H., Siegel, A., Rank, S., Schmidt, T., Fienhold, L., Hummel, S.: Application of ANN for fault detection in overhead transport systems for semiconductor fab. IEEE Trans. Semicond. Manuf. 33(3), 337–345 (2020)

    Google Scholar 

  111. Liu, C., Zhang, L., Li, J., Zheng, J., Wu, C.: Two-stage transfer learning for fault prognosis of ion mill etching process. IEEE Trans. Semicond. Manuf. 34(2), 185–193 (2021)

    Google Scholar 

  112. Ma, B., Cai, W., Han, Y., Yu, G.: A novel probability confidence CNN model and its application in mechanical fault diagnosis. IEEE Trans. Instrum. Measure. 6(70), 1–11 (2021)

    Google Scholar 

  113. Hu, B., Hu, Z., Ran, L., Ng, C., Jia, C., McKeever, P., Tavner, P.J., Zhang, C., Jiang, H., Mawby, P.A.: Heat-flux-based condition monitoring of multichip power modules using a two-stage neural network. IEEE Trans. Power Electron. 36(7), 7489–7500 (2020)

    Google Scholar 

  114. Zhou, H., Zhang, H., Yang, C., Sun, Y.: Deep learning based silicon content estimation in ironmaking process. IFAC-PapersOnLine 53(2), 10737–10742 (2020)

    Google Scholar 

  115. Huang, X., Zhou, Q., Zeng, L., Li, X.: Monitoring spatial uniformity of particle distributions in manufacturing processes using the K function. IEEE Trans. Autom. Sci. Eng. 14(2), 1031–1041 (2015)

    Google Scholar 

  116. Lee, H., Kim, Y., Kim, C.O.: A deep learning model for robust wafer fault monitoring with sensor measurement noise. IEEE Trans. Semicond. Manuf. 30(1), 23–31 (2016)

    Google Scholar 

  117. Hung, S.Y., Lee, C.Y., Lin, Y.L.: Data science for delamination prognosis and online batch learning in semiconductor assembly process. IEEE Trans. Compon. Pack. Manuf. Technol. 10(2), 314–324 (2019)

    Google Scholar 

  118. Zhang, J., Tang, Q., Liu, D.: Research into the LSTM neural network-based crystal growth process model identification. IEEE Trans. Semicond. Manuf. 32(2), 220–225 (2019)

    Google Scholar 

  119. Nakata, K., Orihara, R., Mizuoka, Y., Takagi, K.: A comprehensive big-data-based monitoring system for yield enhancement in semiconductor manufacturing. IEEE Trans. Semicond. Manuf. 30(4), 339–344 (2017)

    Google Scholar 

  120. Frittoli, L., Carrera, D., Rossi, B., Fragneto, P., Boracchi, G.: Deep open-set recognition for silicon wafer production monitoring. Pattern Recognit. 1(124), 108488 (2022)

    Google Scholar 

  121. Wu, H., Zhang, X., Xie, H., Kuang, Y., Ouyang, G.: Classification of solder joint using feature selection based on Bayes and support vector machine. IEEE Trans. Compon. Pack. Manuf. Technol. 3(3), 516–522 (2013)

    Google Scholar 

  122. Hong, S.J., Lim, W.Y., Cheong, T., May, G.S.: Fault detection and classification in plasma etch equipment for semiconductor manufacturing \({e}\) diagnostics. IEEE Trans. Semicond. Manuf. 25(1), 83–93 (2011)

    Google Scholar 

  123. Chung, B.S., Lim, J., Park, I.B., Park, J., Seo, M., Seo, J.: Setup change scheduling for semiconductor packaging facilities using a genetic algorithm with an operator recommender. IEEE Trans. Semicond. Manuf. 27(3), 377–387 (2014)

    Google Scholar 

  124. Wang, Z., Wu, Q., Qiao, F.: A lot dispatching strategy integrating WIP management and wafer start control. IEEE Trans. Autom. Sci. Eng. 4(4), 579–583 (2007)

    Google Scholar 

  125. Zhang, H., Jiang, Z., Guo, C.: Simulation-based optimization of dispatching rules for semiconductor wafer fabrication system scheduling by the response surface methodology. Int. J. Adv. Manuf. Technol. 41(1), 110–121 (2009)

    Google Scholar 

  126. Sha, D.Y., Storch, R.L., Liu, C.H.: Development of a regression-based method with case-based tuning to solve the due date assignment problem. Int. J. Product. Res. 45(1), 65–82 (2007)

    MATH  Google Scholar 

  127. Chen, T., Wang, Y.C.: Incorporating the FCM-BPN approach with nonlinear programming for internal due date assignment in a wafer fabrication plant. Robot. Comput.-Integr. Manuf. 26(1), 83–91 (2010)

    Google Scholar 

  128. Tobon-Mejia, D.A., Medjaher, K., Zerhouni, N., Tripot, G.: A data-driven failure prognostics method based on mixture of Gaussians hidden Markov models. IEEE Trans. Reliab. 61(2), 491–503 (2012)

    Google Scholar 

  129. He, H., Bai, Y., Garcia, E.A., Li, S.: ADASYN: Adaptive synthetic sampling approach for imbalanced learning. In: 2008 IEEE international joint conference on neural networks (IEEE world congress on computational intelligence) Jun 1 pp. 1322–1328. IEEE (2008)

  130. Pan, S.J., Tsang, I.W., Kwok, J.T., Yang, Q.: Domain adaptation via transfer component analysis. IEEE Trans. Neural Netw. 22(2), 199–210 (2010)

    Google Scholar 

  131. Li, X., Yang, Y., Cheng, X.: Ultrasonic-assisted fabrication of metal matrix nanocomposites. J. Mater. Sci. 39(9), 3211–3212 (2004)

    Google Scholar 

  132. Nguyen, H.M., Cooper, E.W., Kamei, K.: Borderline over-sampling for imbalanced data classification. Int. J. Knowl. Eng. Soft Data Paradig. 3(1), 4–21 (2011)

    Google Scholar 

  133. Long, J., Shelhamer, E., Darrell, T.: Fully convolutional networks for semantic segmentation. In: Proceedings of the IEEE conference on computer vision and pattern recognition pp. 3431–3440 (2015)

  134. Jiang, Y., Zhu, X., Wang, X., Yang, S., Li, W., Wang, H., Fu, P., Luo, Z.: R2CNN: rotational region CNN for orientation robust scene text detection. arXiv preprint arXiv:1706.09579. Jun 29 (2017)

  135. Isola, P., Zhu, J.Y., Zhou, T., Efros, A.A.: Image-to-image translation with conditional adversarial networks. In: Proceedings of the IEEE conference on computer vision and pattern recognition pp. 1125–1134 (2017)

  136. Goodfellow, I., Pouget-Abadie, J., Mirza, M., Xu, B., Warde-Farley, D., Ozair, S., Courville, A., Bengio, Y.: Generative adversarial networks. Commun. ACM 63(11), 139–144 (2020)

    MathSciNet  Google Scholar 

  137. Yan, Y., Shi, X., Zhou, T., Xu, B., Li, C., Yuan, W., Gao, Y., Pan, B., Diao, X., Chen, S., Zhao, Y.: Machine learning virtual SEM metrology and SEM-based OPC model methodology. J. Micro/Nanopattern. Mater. Metrol. 20(4), 041204 (2021)

    Google Scholar 

  138. He, K., Zhang, X., Ren, S., Sun, J.: Deep residual learning for image recognition. In: Proceedings of the IEEE conference on computer vision and pattern recognition pp. 770–778 (2016)

  139. Mao, X., Li, Q., Xie, H., Lau, R.Y., Wang, Z., Paul Smolley, S.: Least squares generative adversarial networks. In: Proceedings of the IEEE international conference on computer vision (2017) pp. 2794–2802

  140. Rasmussen, C.: The infinite Gaussian mixture model. Adv. Neural Inform. Process. Syst. 89, 12 (1999)

    Google Scholar 

  141. Breiman, L.: Bagging predictors. Mach. Learn. 24(2), 123–140 (1996)

    MATH  Google Scholar 

  142. Breiman, L.: Random forests. Mach. Learn. 45(1), 5–32 (2001)

    MATH  Google Scholar 

  143. Slavik, P.: Improved performance of the greedy algorithm for partial cover. Inform. Process. Lett. 64(5), 251–254 (1997)

    MathSciNet  MATH  Google Scholar 

  144. Gandhi, R., Khuller, S., Srinivasan, A.: Approximation algorithms for partial covering problems. In: International Colloquium on Automata, Languages, and Programming Jul 8 pp. 225–236. Springer, Berlin (2001)

  145. Wu, H.: Solder joint defect classification based on ensemble learning. Soldering & Surface Mount Technology. Jun 5 (2017)

  146. Woo, M., Kim, S., Kang, S.: GRASP based metaheuristics for layout pattern classification. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) pp. 512–518. IEEE Nov 13 (2017)

  147. Wu, H., Gao, W., Xu, X.: Solder joint recognition using mask R-CNN method. IEEE Trans. Compon. Pack. Manuf. Technol. 10(3), 525–530 (2019)

    Google Scholar 

  148. Wu, H., Xu, X.: Solder joint inspection using eigensolder features. Soldering & Surface Mount Technology. Jul 11 (2018)

  149. Krizhevsky, A., Sutskever, I., Hinton, G.E.: Imagenet classification with deep convolutional neural networks. Commun. ACM 60(6), 84–90 (2017)

    Google Scholar 

  150. Huang, Z., Wang, X., Huang, L., Huang, C., Wei, Y., Liu, W.: Ccnet: Criss-cross attention for semantic segmentation. In: Proceedings of the IEEE/CVF international conference on computer vision (2019) pp. 603–612

  151. Long, M., Cao, Y., Wang, J., Jordan, M.: Learning transferable features with deep adaptation networks. In: International conference on machine learning, Jun 1 pp. 97–105. PMLR (2015)

  152. Ghifary, M., Kleijn, W.B., Zhang, M., Domain adaptive neural networks for object recognition. In: Pacific Rim international conference on artificial intelligence, Dec 1 pp. 898–904. Springer, Cham (2014)

  153. Sun, Y., Yu, Y., Wang, W.: Moiré photo restoration using multiresolution convolutional neural networks. IEEE Trans. Image Process. 27(8), 4160–4172 (2018)

    MathSciNet  MATH  Google Scholar 

  154. Guo, L., Lei, Y., Xing, S., Yan, T., Li, N.: Deep convolutional transfer learning network: a new method for intelligent fault diagnosis of machines with unlabeled data. IEEE Trans. Ind. Electron. 66(9), 7316–7325 (2018)

    Google Scholar 

  155. He, J., Deng, Z., Qiao, Y.: Dynamic multi-scale filters for semantic segmentation. In: Proceedings of the IEEE/CVF International Conference on Computer Vision (2019) pp. 3562–3572

  156. Yin, M., Yao, Z., Cao, Y., Li, X., Zhang, Z., Lin, S., Hu, H.: Disentangled non-local neural networks. In: European Conference on Computer Vision, Aug 23 pp. 191-207. Springer, Cham (2020)

  157. Li, X., Zhong, Z., Wu, J., Yang, Y., Lin, Z., Liu, H.: Expectation-maximization attention networks for semantic segmentation. In: Proceedings of the IEEE/CVF International Conference on Computer Vision (2019) pp. 9167–9176

  158. Zhang, H., Dana, K., Shi, J., Zhang, Z., Wang, X., Tyagi, A., Agrawal, A.: Context encoding for semantic segmentation. In: Proceedings of the IEEE conference on Computer Vision and Pattern Recognition (2018) pp. 7151–7160

  159. Cao, Y., Xu, J., Lin, S., Wei, F., Hu, H.: Gcnet: Non-local networks meet squeeze-excitation networks and beyond. In: Proceedings of the IEEE/CVF international conference on computer vision workshops (2019)

  160. Szegedy, C., Liu, W., Jia, Y., Sermanet, P., Reed, S., Anguelov, D., Erhan, D., Vanhoucke, V., Rabinovich, A.: Going deeper with convolutions. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pp. 1–9 (2015)

  161. Chan, T.H., Jia, K., Gao, S., Lu, J., Zeng, Z., Ma, Y.: PCANet: a simple deep learning baseline for image classification? IEEE Trans. Image Process. 24(12), 5017–5032 (2015)

    MathSciNet  MATH  Google Scholar 

  162. Zhao, H., Zhang, Y., Liu, S., Shi, J., Loy, C.C., Lin, D., Jia, J.: Psanet: point-wise spatial attention network for scene parsing. In: Proceedings of the European Conference on Computer Vision (ECCV), pp. 267–283 (2018)

  163. Graham, B., Engelcke, M., Van Der Maaten, L.: 3D semantic segmentation with submanifold sparse convolutional networks. In: Proceedings of the IEEE conference on computer vision and pattern recognition, pp. 9224–9232 (2018)

  164. Ronneberger, O., Fischer, P., Brox, T.: U-net: Convolutional networks for biomedical image segmentation. In: International Conference on Medical image computing and computer-assisted intervention, Oct 5 pp. 234–241. Springer, Cham (2015)

  165. Simonyan, K., Zisserman, A.: Very deep convolutional networks for large-scale image recognition. arXiv preprint arXiv:1409.1556. Sep 4 (2014)

  166. Williams, C.K., Rasmussen, C.E.: Gaussian Processes for Machine Learning, vol. 2. MIT Press, Cambridge (2006)

    MATH  Google Scholar 

  167. Bengio, Y., Lamblin, P., Popovici, D., Larochelle, H.: Greedy layer-wise training of deep networks. Adv. Neural Inform. Process. Syst. 78, 19 (2006)

    Google Scholar 

  168. Cover, T., Hart, P.: Nearest neighbor pattern classification. IEEE Trans. Inform Theory 13(1), 21–27 (1967)

    MATH  Google Scholar 

  169. Manevitz, L.M., Yousef, M.: One-class SVMs for document classification. J. Mach. Learn. Res. 2(Dec), 139–154 (2001)

    MATH  Google Scholar 

  170. Vincent, P., Larochelle, H., Lajoie, I., Bengio, Y., Manzagol, P.A., Bottou, L.: Stacked denoising autoencoders: Learning useful representations in a deep network with a local denoising criterion. J. Mach. Learn. Res. 12, 11 (2010)

    MathSciNet  MATH  Google Scholar 

  171. Saqlain, M., Abbas, Q., Lee, J.Y.: A deep convolutional neural network for wafer defect identification on an imbalanced dataset in semiconductor manufacturing processes. IEEE Tran. Semicond. Manuf. 33(3), 436–444 (2020)

    Google Scholar 

  172. Tello, G., Al-Jarrah, O.Y., Yoo, P.D., Al-Hammadi, Y., Muhaidat, S., Lee, U.: Deep-structured machine learning model for the recognition of mixed-defect patterns in semiconductor fabrication processes. IEEE Tran. Semicond. Manuf. 31(2), 315–322 (2018)

    Google Scholar 

  173. Chiu, M.C., Chen, T.M.: Applying data augmentation and mask R-CNN-based instance segmentation method for mixed-type wafer maps defect patterns classification. IEEE Tran. Semicond. Manuf. 34(4), 455–463 (2021)

    Google Scholar 

  174. Yu, J., Zheng, X., Liu, J.: Stacked convolutional sparse denoising auto-encoder for identification of defect patterns in semiconductor wafer map. Comput. Ind. 1(109), 121–133 (2019)

    Google Scholar 

  175. Piao, M., Jin, C.H., Lee, J.Y., Byun, J.Y.: Decision tree ensemble-based wafer map failure pattern recognition based on radon transform-based features. IEEE Tran. Semicond. Manuf. 31(2), 250–257 (2018)

    Google Scholar 

  176. Tsai, T.H., Lee, Y.C.: A light-weight neural network for wafer map classification based on data augmentation. IEEE Trans. Semicond. Manuf. 33(4), 663–672 (2020)

    Google Scholar 

  177. Ishida, T., Nitta, I., Fukuda, D., Kanazawa, Y.: Deep learning-based wafer-map failure pattern recognition framework. In: 20th International Symposium on Quality Electronic Design (ISQED) Mar 6 pp. 291–297. IEEE (2019)

  178. Shim, J., Kang, S., Cho, S.: Active learning of convolutional neural network for cost-effective wafer map pattern classification. IEEE Tran. Semicond. Manuf. 33(2), 258–266 (2020)

    Google Scholar 

  179. Lee, H., Kim, H.: Semi-supervised multi-label learning for classification of wafer bin maps with mixed-type defect patterns. IEEE Tran. Semicond. Manuf. 33(4), 653–662 (2020)

    Google Scholar 

  180. Wang, T., Chen, Y., Qiao, M., Snoussi, H.: A fast and robust convolutional neural network-based defect detection model in product quality control. Int. J. Adv. Manuf. Technol. 94(9), 3465–3471 (2018)

    Google Scholar 

  181. Kingma, D.P., Welling, M.: Auto-encoding variational bayes, Available: arXiv:1312.6114 (2013)

  182. Kingma, D.P., Mohamed, S., Jimenez Rezende, D., Welling, M.: Semi-supervised learning with deep generative models. In: Proceeding Advances in Neural Information Processing Systems pp. 3581–3589 (2014)

  183. Kahng, H., Kim, S.B.: Self-supervised representation learning for wafer bin map defect pattern classification. IEEE Trans. Semicond. Manuf. 34(1), 74–86 (2020)

    Google Scholar 

  184. Sha, Y., He, Z., Du, J., Zhu, Z., Lu, X.: Intelligent detection technology of flip chip based on H-SVM algorithm. Eng. Fail. Anal. 1(134), 106032 (2022)

    Google Scholar 

  185. Lim, M.Y., Sharma, A., Chin, C.S., Yip, T.C., Ong, J.Y.: Prediction of wafer map categories using wafer acceptance test parameters in semiconductor manufacturing. In: IFIP International Conference on Artificial Intelligence Applications and Innovations pp. 136–144. Springer, Cham (2022)

  186. Chen, T., He, T., Benesty, M., Khotilovich, V., Tang, Y., Cho, H., Chen, K.: Xgboost: extreme gradient boosting. R Package Version 0.4-2 1(4), 1–4 (2015)

    Google Scholar 

  187. Weimer, D., Scholz-Reiter, B., Shpitalni, M.: Design of deep convolutional neural network architectures for automated feature extraction in industrial inspection. CIRP Ann. 65(1), 417–420 (2016)

    Google Scholar 

  188. Siebel, N.T., Sommer, G.: Learning defect classifiers for visual inspection images by neuro-evolution using weakly labelled training data. In: 2008 IEEE Congress on Evolutionary Computation (IEEE World Congress on Computational Intelligence) Jun 1 pp. 3925–3931. IEEE (2008)

  189. Timm, F., Barth, E.: Non-parametric texture defect detection using Weibull features. In: Image Processing: Machine Vision Applications IV Feb 7 Vol. 7877, pp. 150–161. SPIE (2011)

  190. Wang, X., Girshick, R., Gupta, A., He, K.: Non-local neural networks. In: Proceedings of the IEEE conference on computer vision and pattern recognition, pp. 7794–7803 (2018)

  191. Sharma, V.S., Nagwanshi, K.K., Sinha, G.R.: Classification of defects in photonic bandgap crystal using machine learning under microsoft AzureML environment. Multimedia Tools Appl. 17, 1–6 (2022)

    Google Scholar 

  192. Tsan, T.C., Shih, T.F., Fuh, C.S.: TsanKit: artificial intelligence for solder ball head-in-pillow defect inspection. Mach. Vis. Appl. 32(3), 1–7 (2021)

    Google Scholar 

  193. Bella, R.D., Carrera, D., Rossi, B., Fragneto, P., Boracchi, G.: Wafer defect map classification using sparse convolutional networks. In: International Conference on Image Analysis and Processing pp. 125–136. Springer, Cham, Sep 9 (2019)

  194. Yu, J., Shen, Z., Zheng, X.: Joint feature and label adversarial network for wafer map defect recognition. IEEE Trans. Autom. Sci. Eng. 18(3), 1341–1353 (2020)

    Google Scholar 

  195. Ganin, Y., Lempitsky, V.: Unsupervised domain adaptation by backpropagation. In: International conference on machine learning, Jun 1 pp. 1180–1189. PMLR (2015)

  196. Huang, C.J.: Clustered defect detection of high quality chips using self-supervised multilayer perceptron. Expert Syst. Appl. 33(4), 996–1003 (2007)

    Google Scholar 

  197. Demant, M., Virtue, P., Kovvali, A., Stella, X.Y., Rein, S.: Learning quality rating of as-cut mc-si wafers via convolutional regression networks. IEEE J. Photovolt. 9(4), 1064–1072 (2019)

    Google Scholar 

  198. Yu, J., Lu, X.: Wafer map defect detection and recognition using joint local and nonlocal linear discriminant analysis. IEEE Trans. Semicond. Manuf. 29(1), 33–43 (2015)

    Google Scholar 

  199. Yu, J.: Enhanced stacked denoising autoencoder-based feature learning for recognition of wafer map defects. IEEE Trans. Semicond. Manuf. 32(4), 613–624 (2019)

    Google Scholar 

  200. Yang, H., Mei, S., Song, K., Tao, B., Yin, Z.: Transfer-learning-based online Mura defect classification. IEEE Trans. Semicond. Manuf. 31(1), 116–123 (2017)

    Google Scholar 

  201. Hyun, Y., Kim, H.: Memory-augmented convolutional neural networks with triplet loss for imbalanced wafer defect pattern classification. IEEE Trans. Semicond. Manuf. 33(4), 622–634 (2020)

    Google Scholar 

  202. Hearst, M.A., Dumais, S.T., Osuna, E., Platt, J., Scholkopf, B.: Support vector machines. IEEE Intell. Syst. Appl. 13(4), 18–28 (1998)

    Google Scholar 

  203. Batool, U., Shapiai, M.I., Fauzi, H., Fong, J.X.: Convolutional neural network for imbalanced data classification of silicon wafer defects. In: 2020 16th IEEE International Colloquium on Signal Processing & Its Applications (CSPA) Feb 28 pp. 230–235. IEEE (2020)

  204. Han, H., Gao, C., Zhao, Y., Liao, S., Tang, L., Li, X.: Polycrystalline silicon wafer defect segmentation based on deep convolutional neural networks. Pattern Recognit. Lett. 1(130), 234–241 (2020)

    Google Scholar 

  205. Sun, B., Saenko, K., Deep coral: Correlation alignment for deep domain adaptation. In: European conference on computer vision Oct 8 pp. 443–450. Springer, Cham (2016)

  206. Kim, Y., Cho, D., Lee, J.H.: Wafer defect pattern classification with detecting out-of-distribution. Microelectron. Reliab. 1(122), 114157 (2021)

    Google Scholar 

  207. Chen, S., Zhang, Y., Yi, M., Shang, Y., Yang, P.: AI classification of wafer map defect patterns by using dual-channel convolutional neural network. Eng. Fail. Anal. 1(130), 105756 (2021)

    Google Scholar 

  208. Jin, C.H., Kim, H.J., Piao, Y., Li, M., Piao, M.: Wafer map defect pattern classification based on convolutional neural network features and error-correcting output codes. J. Intell. Manuf. 31(8), 1861–1875 (2020)

    Google Scholar 

  209. Syntetos, A.A., Boylan, J.E.: The accuracy of intermittent demand estimates. Int. J. Forecast. 21(2), 303–314 (2005)

    Google Scholar 

  210. Jia, S., Morrice, D.J., Bard, J.F.: A performance analysis of dispatch rules for semiconductor assembly & test operations. J. Simul. 14, 29 (2018)

    Google Scholar 

  211. Liu, Z.: Using neural network to establish manufacture production performance forecasting in IoT environment. J. Supercomput. 78(7), 9595–9618 (2022)

    Google Scholar 

  212. Alawieh, M.B., Ye, W., Pan, D.Z.: Re-examining vlsi manufacturing and yield through the lens of deep learning:(invited talk). In: 2020 IEEE/ACM International Conference on Computer Aided Design (ICCAD) pp. 1–8. IEEE Nov 2 (2020)

  213. Chen, H., Boning, D.: Online and incremental machine learning approaches for IC yield improvement. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) pp. 786–793. IEEE, Nov 13 (2017)

  214. Fu, W., Chien, C.F., Lin, Z.H.: A hybrid forecasting framework with neural network and time-series method for intermittent demand in semiconductor supply chain. In IFIP International Conference on Advances in Production Management Systems pp. 65–72. Springer, Cham, Aug 26 (2018)

  215. Ganin, Y., Ustinova, E., Ajakan, H., Germain, P., Larochelle, H., Laviolette, F., Marchand, M., Lempitsky, V.: Domain-adversarial training of neural networks. J. Mach. Learn. Res. 17(1), 2096–2096 (2016)

    MathSciNet  MATH  Google Scholar 

  216. Ye, J., Janardan, R., Li, Q.: Two-dimensional linear discriminant analysis. Adv. Neural Inform. Process. Syst. 9, 17 (2004)

    Google Scholar 

  217. Gong, B., Shi, Y., Sha, F., Grauman, K.: Geodesic flow kernel for unsupervised domain adaptation. In: 2012 IEEE conference on computer vision and pattern recognition, Jun 16 pp. 2066–2073. IEEE (2012)

  218. Long, M., Wang, J., Ding, G., Sun, J., Yu, P.S.: Transfer feature learning with joint distribution adaptation. In: Proceedings of the IEEE international conference on computer vision, pp. 2200–2207 (2013)

  219. Wang, J., Chen, Y., Hao, S., Feng, W., Shen, Z.: Balanced distribution adaptation for transfer learning. In: 2017 IEEE international conference on data mining (ICDM) (2017) Nov 18 pp. 1129–1134. IEEE

  220. Lei, Y., Li, N., Guo, L., Li, N., Yan, T., Lin, J.: Machinery health prognostics: a systematic review from data acquisition to RUL prediction. Mech. Syst. Signal Process. 1(104), 799–834 (2018)

    Google Scholar 

  221. PCCNN.: GitHub, Available at: https://github.com/caiwd/PCCNN (2021)

  222. Azamfar, M., Li, X., Lee, J.: Deep learning-based domain adaptation method for fault diagnosis in semiconductor manufacturing. IEEE Trans. Semicond. Manuf. 33(3), 445–453 (2020)

    Google Scholar 

  223. Lee, K.B., Cheon, S., Kim, C.O.: A convolutional neural network for fault classification and diagnosis in semiconductor manufacturing processes. IEEE Trans. Semicond. Manuf. 30(2), 135–142 (2017)

    Google Scholar 

  224. Gaber, L., Hussein, A.I., Moness, M.: Fault detection based on deep learning for digital VLSI circuits. Procedia Comput. Sci. 1(194), 122–131 (2021)

    Google Scholar 

  225. Shim, J., Kang, S.: Domain-adaptive active learning for cost-effective virtual metrology modeling. Comput. Ind. 1(135), 103572 (2022)

    Google Scholar 

  226. Hendrycks, D., Gimpel, K.: A baseline for detecting misclassified and out-of-distribution examples in neural networks. arXiv preprint arXiv:1610.02136. Oct 7 (2016)

  227. Bendale, A., Boult, T.E.: Towards open set deep networks. In: Proceedings of the IEEE conference on computer vision and pattern recognition, pp. 1563–1572 (2016)

  228. Geng, C., Tao, L., Chen, S.: Guided CNN for generalized zero-shot and open-set recognition using visual and semantic prototypes. Pattern Recognit. 1(102), 107263 (2020)

    Google Scholar 

  229. Zhu, Y., Ting, K.M., Zhou, Z.H.: Multi-label learning with emerging new labels. IEEE Trans. Knowl. Data Eng. 30(10), 1901–1914 (2018)

    Google Scholar 

  230. Zhang, Y., Wang, Y., Liu, X.Y., Mi, S., Zhang, M.L.: Large-scale multi-label classification using unknown streaming images. Pattern Recognit. 1(99), 107100 (2020)

    Google Scholar 

  231. Schuetz, M.J., Brubaker, J.K., Katzgraber, H.G.: Combinatorial optimization with physics-inspired graph neural networks. Nat. Mach. Intell. 4(4), 367–377 (2022)

    Google Scholar 

  232. Majdabadi, M.M., Shokouhi, S.B., Ko, S.B.: Efficient hybrid CMOS/memristor implementation of bidirectional associative memory using passive weight array. Microelectron. J. 1(98), 104725 (2020)

    Google Scholar 

  233. VerWey, J.: The other artificial intelligence hardware problem. Computer 55(1), 34–42 (2022)

    Google Scholar 

  234. Robbins, S., van Wynsberghe, A.: Our new artificial intelligence infrastructure: becoming locked into an unsustainable future. Sustainability 14(8), 4829 (2022)

    Google Scholar 

  235. Shetty, A., Raj, N.: A Study on Recent Advances in Artificial Intelligence and Future Prospects of Attaining Superintelligence. In: Proceedings of third international conference on communication, computing and electronics systems, pp. 879–892. Springer, Singapore (2022)

  236. Tin, T.C., Tan, S.C., Lee, C.K.: Virtual metrology in semiconductor fabrication foundry using deep learning neural networks. IEEE Access. 25(10), 81960–81973 (2022)

    Google Scholar 

  237. Bordas B, Kurt K, Bamberg A, Engell S. Developing a digital twin of a polymerization reaction for process optimization. In: 2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC) May 2 pp. 1–6. IEEE (2022)

  238. Li, D., Jia, Z., Tang, Y., Song, C., Liang, K., Ren, H., Li, F., Chen, Y., Wang, Y., Lu, X., Meng, L.: Inorganic-organic hybrid phototransistor array with enhanced photogating effect for dynamic near-infrared light sensing and image preprocessing. Nano Lett. 22(13), 5434–5442 (2022)

    Google Scholar 

  239. Wu, P., He, T., Zhu, H., Wang, Y., Li, Q., Wang, Z., Fu, X., Wang, F., Wang, P., Shan, C., Fan, Z.: Next-generation machine vision systems incorporating two-dimensional materials: progress and perspectives. InfoMat. 4(1), e12275 (2022)

    Google Scholar 

  240. Li, F., Cai, H., Moyne, J., Iskandar, J., Armacost, M., Lee, J.: Combining feature extraction-based and full trace analysiss capabilities in fault detection: methods and comparative analysis. In: 2021 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), May 10 pp. 1–6. IEEE (2021)

  241. Fan, S.K., Hsu, C.Y., Tsai, D.M., He, F., Cheng, C.C.: Data-driven approach for fault detection and diagnostic in semiconductor manufacturing. IEEE Trans. Autom, Sci. Eng. 17(4), 1925–1936 (2020)

    Google Scholar 

  242. Jeyaraj, P.R., Samuel Nadar, E.R.: Computer vision for automatic detection and classification of fabric defect employing deep learning algorithm. Int. J. Cloth. Sci. Technol. 31(4), 510–521 (2019)

    Google Scholar 

Download references

Acknowledgements

The authors extend their gratitude for the support they received from the National Key R &D Program of China (2022YFB4701400/4701402), SZSTC Grant(JCYJ20190809172201639, WDZC20200820200655001), Shenzhen Key Laboratory (ZDSYS20210623092001004), Beijing Key Lab of Networked Multimedia, and the Information Engineering College, Yango University through the High-Level Talents Project under Grant CHN-F-IECY201871015.

Funding

The authors have not disclosed any funding.

Author information

Authors and Affiliations

Authors

Contributions

The authors contributed to the article in order of their appearance. AC and TJ were involved in idea generation, design, and implementation of experiments, and drafting of the article, whereas SH was involved in idea generation, results, discussion, and feedback, and final revision and drafting of the article.

Corresponding author

Correspondence to Sheng Hui Meng.

Ethics declarations

Conflict of interest

The authors declare that they have no conflict of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Appendix A

Appendix A

See Table 8.

Table 8 The abbreviations and terms

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Huang, A.C., Meng, S.H. & Huang, T.J. A survey on machine and deep learning in semiconductor industry: methods, opportunities, and challenges. Cluster Comput 26, 3437–3472 (2023). https://doi.org/10.1007/s10586-023-04115-6

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10586-023-04115-6

Keywords

Navigation