Skip to main content
Log in

Analysis of power for double-tail current dynamic latch comparator

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

The necessity of low-power, high-speed, and area proficient data converters makes dynamic cross-coupled latch based comparator more suitable for power efficiency and to maximize speed. In this paper, an investigation on the power of dynamic comparator is presented and the analytical expressions are derived. Since the dynamic comparator is a linear periodically time-variant system, use of a classical current model of CMOS with the assumption that the transistor remains in saturation is not valid for analysis. Here, the analytical expression of power is derived using the current model of CMOS, which is valid in all operational regions of the transistor. With the help of these analytical expressions, designers can obtain an intuition about the main contributors to the power consumption and fully explore the trade-off in terms of performance parameters such as speed, power, and power delay product (PDP). The circuit is designed and simulated in GPDK 90 nm CMOS technology. The circuit design is optimized for performance parameters using both, analytical expressions and parametric variation simulations. Post-layout simulations are also carried out for the design. The results confirm a significant reduction in the performance parameters. The maximum frequency can be increased up to 4.2 GHz at a supply of 1 V, at the power of 33 μW, delay of 51.76 ps, and has a layout size of 7.2 μm × 8.1 μm. The results verify the effectiveness of the presented analysis.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  1. Razavi, B., & Wooley, B. A. (1992). Design techniques for high-speed, high-resolution comparators. IEEE Journal of Solid-State Circuits, 27, 1916–1926. https://doi.org/10.1109/4.173122.

    Article  Google Scholar 

  2. Allen, P. E., & Holberg, D. R. (2002). CMOS analog circuit design. Oxford: Oxford University Press.

    Google Scholar 

  3. Carusone, T. C., Johns, D. A., & Martin, K. W. (2011). Analog integrated circuit design. Hoboken, N.J: Wiley.

    Google Scholar 

  4. Baker, R. J., Li, H. W., & Boyce, D. E. (2005). CMOS: Circuit design, layout, and simulation. Hoboken: IEEE Press/Wiley.

    Google Scholar 

  5. Mesgarani, A., Alam, M. N., Nelson, F. Z., & Ay, S. U. (2010). Supply boosting technique for designing very low-voltage mixed-signal circuits in standard CMOS. In 2010 53rd IEEE international midwest symposium on circuits and systems. https://doi.org/10.1109/MWSCAS.2010.5548658.

  6. Ay, S. U. (2011). A sub-1 Volt 10-bit supply boosted SAR ADC design in standard CMOS. Analog Integrated Circuits and Signal Processing, 66, 213–221. https://doi.org/10.1007/s10470-010-9515-3.

    Article  Google Scholar 

  7. Maymandi-Nejad, M., & Sachdev, M. (2003). 1-Bit quantiser with rail to rail input range for sub-1 V Delta; Sigma; modulators. Electronics Letters, 39, 894–895. https://doi.org/10.1049/el:20030588.

    Article  Google Scholar 

  8. Blalock, B. J., Li, H. W., Allen, P. E., & Jackson, S. A. (2000). Body-driving as a low-voltage analog design technique for CMOS technology. In 2000 Southwest symposium on mixed-signal design (Cat. No.00EX390). https://doi.org/10.1109/SSMSD.2000.836457.

  9. Okaniwa, Y., Tamura, H., Kibune, M., Yamazaki, D., Cheung, T.-S., Ogawa, J., et al. (2005). A 40-Gb/s CMOS clocked comparator with bandwidth modulation technique. IEEE Journal of Solid-State Circuits, 40, 1680–1687. https://doi.org/10.1109/JSSC.2005.852014.

    Article  Google Scholar 

  10. Uthaichana, P., & Leelarasmee, E. (2003). Low power CMOS dynamic latch comparators. In TENCON 2003. Conference on convergent technologies for the Asia-Pacific Region. https://doi.org/10.1109/TENCON.2003.1273237.

  11. Savani, V., & Devashrayee, N. M. (2017). Implementation of low power rail-to-rail dynamic latch comparator with modified adaptive power control technique. Nirma University Journal of Engineering and Technology, 5, 1–7.

    Google Scholar 

  12. Schinkel, D., Mensink, E., Klumperink, E., van Tuijl, E., & Nauta, B. (2007). A double-tail latch-type voltage sense amplifier with 18 ps setup + hold time. In 2007 IEEE international solid-state circuits conference. Digest of technical papers. https://doi.org/10.1109/ISSCC.2007.373420.

  13. Schinkel, D., Mensink, E., Klumperink, E., van Tuijl, E., & Nauta, B. (2007). A low-offset double-tail latch-type voltage sense amplifier. In 2007 the Annual workshop on circuits, systems and signal processing (ProRISC) (pp. 89–94).

  14. Goll, B., & Zimmermann, H. (2009). A comparator with reduced delay time in 65-nm CMOS for supply voltages down to 0.65 V. IEEE Transactions on Circuits and Systems II: Express Briefs, 56, 810–814. https://doi.org/10.1109/TCSII.2009.2030357.

    Article  Google Scholar 

  15. Babayan-Mashhadi, S., & Lotfi, R. (2014). Analysis and design of a low-voltage low-power double-tail comparator. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22, 343–352. https://doi.org/10.1109/TVLSI.2013.2241799

    Article  Google Scholar 

  16. Nuzzo, P., Bernardinis, F. D., Terreni, P., & der Plas, G. V. (2008). Noise analysis of regenerative comparators for reconfigurable ADC architectures. IEEE Transactions on Circuits and Systems I: Regular Papers, 55, 1441–1454. https://doi.org/10.1109/TCSI.2008.917991.

    Article  MathSciNet  Google Scholar 

  17. He, J., Zhan, S., Chen, D., & Geiger, R. L. (2009). Analyses of static and dynamic random offset voltages in dynamic comparators. IEEE Transactions on Circuits and Systems I: Regular Papers, 56, 911–919. https://doi.org/10.1109/TCSI.2009.2015207.

    Article  MathSciNet  Google Scholar 

  18. Savani, V., & Devashrayee, N. M. (2018). Design and analysis of low-power high-speed shared charge reset technique based dynamic latch comparator. Microelectronics Journal, 74, 116–126. https://doi.org/10.1016/j.mejo.2018.01.020.

    Article  Google Scholar 

  19. Savani, V., & Devashrayee, N. M. (2017). Analysis and design of low-voltage low-power high-speed double tail current dynamic latch comparator. Analog Integrated Circuits and Signal Processing, 93, 287–298. https://doi.org/10.1007/s10470-017-1040-1.

    Article  Google Scholar 

  20. Savani, V., & Devashrayee, N. M. (2015). Analysis and characterization of dual tail current based dynamic latch comparator with modified SR latch using 90 nm technology. In 2015 19th International symposium on VLSI design and test (VDAT). https://doi.org/10.1109/ISVDAT.2015.7208136.  

  21. Figueiredo, P. M., & Vital, J. C. (2006). Kickback noise reduction techniques for CMOS latched comparators. IEEE Transactions on Circuits and Systems II: Express Briefs, 53, 541–545. https://doi.org/10.1109/TCSII.2006.875308.

    Article  Google Scholar 

  22. Babayan-Mashhadi, S., & Lotfi, R. (2012). An offset cancellation technique for comparators using body-voltage trimming. Analog Integrated Circuits and Signal Processing, 73, 673–682. https://doi.org/10.1007/s10470-012-9925-5.

    Article  Google Scholar 

  23. Nikoozadeh, A., & Murmann, B. (2006). An analysis of latch comparator offset due to load capacitor mismatch. IEEE Transactions on Circuits and Systems II: Express Briefs, 53, 1398–1402. https://doi.org/10.1109/TCSII.2006.883204.

    Article  Google Scholar 

  24. Kim, J., Leibowitz, B. S., Ren, J., & Madden, C. J. (2009). Simulation and analysis of random decision errors in clocked comparators. IEEE Transactions on Circuits and Systems I: Regular Papers, 56, 1844–1857. https://doi.org/10.1109/TCSI.2009.2028449.

    Article  MathSciNet  Google Scholar 

  25. Wicht, B., Nirschl, T., & Schmitt-Landsiedel, D. (2004). Yield and speed optimization of a latch-type voltage sense amplifier. IEEE Journal of Solid-State Circuits, 39, 1148–1158. https://doi.org/10.1109/JSSC.2004.829399.

    Article  Google Scholar 

  26. Samid, L., Volz, P., & Manoli, Y. (2004). A dynamic analysis of a latched CMOS comparator. In 2004 IEEE international symposium on circuits and systems (IEEE Cat. No.04CH37512). https://doi.org/10.1109/ISCAS.2004.1328161.

  27. Akbari, M., Maymandi-Nejad, M., & Mirbozorgi, S. A. (2013). A new rail-to-rail ultra low voltage high speed comparator. In 2013 21st Iranian conference on electrical engineering (ICEE). https://doi.org/10.1109/IranianCEE.2013.6599850.

  28. Kuo, B. J., Chen, B. W., & Tsai, C. M. (2015). A 0.6 V, 1.3 GHz dynamic comparator with cross-coupled latches. In VLSI design, automation and test (VLSI-DAT). https://doi.org/10.1109/VLSI-DAT.2015.7114523.

  29. Bahmanyar, P., Maymandi-Nejad, M., Hosseini-Khayat, S., & Berekovic, M. (2016). Design and analysis of an ultra-low-power double-tail latched comparator for biomedical applications. Analog Integrated Circuits and Signal Processing, 86, 159–169. https://doi.org/10.1007/s10470-015-0632-x.

    Article  Google Scholar 

  30. Yaqubi, E., & Zahiri, S. H. (2017). Optimum design of a double-tail latch comparator on power, speed, offset and size. Analog Integrated Circuits and Signal Processing, 90, 309–319.

  31. Babayan-Mashhadi, S., Daliri, M., & Lotfi, R. (2013). Analysis of power in dynamic comparators. In 21st Iranian conference on electrical engineering (ICEE) (pp. 1–4). https://doi.org/10.1109/IranianCEE.2013.6599853.

  32. Tsividis, Y., Suyama, K., & Vavelidis, K. (1995). Simple ‘reconciliation’ MOSFET model valid in all regions. Electronics Letters, 31, 506–508. https://doi.org/10.1049/el:19950256.

    Article  Google Scholar 

  33. van Elzakker M, van Tuijl AJM, Geraedts PFJ, Schinkel D, Klumperink EAM, Nauta B. (2008). A 1.9 uW 4.4fJ/conversion-step 10b 1MS/s charge-redistribution ADC. In IEEE international solid-state circuits conferencedigest of technical papers (pp. 244–610). https://doi.org/10.1109/ISSCC.2008.4523148.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vijay Savani.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Savani, V., Devashrayee, N.M. Analysis of power for double-tail current dynamic latch comparator. Analog Integr Circ Sig Process 100, 345–355 (2019). https://doi.org/10.1007/s10470-019-01472-4

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-019-01472-4

Keywords

Navigation