Skip to main content
Log in

Atomic Layer Etching Applications in Nano-Semiconductor Device Fabrication

  • Original Article - Electronics, Magnetics and Photonics
  • Published:
Electronic Materials Letters Aims and scope Submit manuscript

Abstract

These days, the process of plasma etching is exhibited in various forms, including the reactive ion etching (RIE) method. Not only memory device but also computing element such as system semiconductor is becoming more important, and more in demand than ever. In tandem with that demand increase trend, semiconductor process should be sophisticated to manufacture extremely complex semiconductor device structure. However, the downscaling of semiconductor devices has given rise to certain limitations, such as etch profile, short channel effect (SCE), control of critical dimension and material selection. Therefore, to overcome these complex problems, atomic layer etching (ALE) technology was developed, which is more precise compared to the existing method by using repetitive process between modification (self-limiting) and removal. This study analyzes the overall trend of the ALE technology currently being investigated in the field of semiconductors. In particular, we describe the application of ALE to Si, Ge, W, GaN, SiO2 layers, and graphene layers. Also the process of overcoming the above-mentioned limitations using ALE in semiconductor manufacturing processes. The ALE technology is considered as one of the leading new paradigms in the manufacture of semiconductor devices, such as improving 3D nanostructure device structure, High-K oxide etching, line edge/width roughness (LER/LWR), and the selective Atomic Layer Deposition (ALD) in the future. Atomic Layer Deposition (ALD) is a thin-film deposition process, one of chemical vapor deposition based on the sequential use of a gas-phase materials. Although there are limitations to be challenged, ALE technology will be as one of counterplan of conventional etching technology in post-semiconductor industry.

Graphical Abstract

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Copyright © 2018 American Chemical Society

Fig. 9

Copyright © 2019 American Chemical Society

Similar content being viewed by others

Data Availability

The data that support the findings of this study are available from the corresponding author upon reasonable request. The data that support the findings in others’ publications and are presented in this review are available from the corresponding authors of publications cited in this review. Restrictions may apply to the availability of these data.

References

  1. Kanarik, K.J., Lill, T., Hudson, E.A., Sriraman, S., Tan, S., Marks, J., Vahedi, V., Gottscho, R.A.: Overview of atomic layer etching in the semiconductor industry. J. Vacuum Sci. Technol. A Vacuum Surf. Films 33, 020802 (2015)

    Article  Google Scholar 

  2. Kanarik, K.J., Tan, S., Holland, J., Eppler, A., Vahedi, V., Marks, J., Gottscho, R.A.: Moving atomic layer etch from lab to tab. Solid State Technol. 56, 14–17 (2013)

    CAS  Google Scholar 

  3. Kim, Y., Lim, W., Park, J., Yeom, G.: Layer by layer etching of the highly oriented pyrolythic graphite by using atomic layer etching. J. Electrochem. Soc. 158, D710 (2011)

    Article  CAS  Google Scholar 

  4. Oehrlein, G., Metzler, D., Li, C.: Atomic layer etching at the tipping point: an overview. ECS J. Solid State Sci. Technol. 4, N5041 (2015)

    Article  CAS  Google Scholar 

  5. Carver, C.T., Plombon, J.J., Romero, P.E., Suri, S., Tronic, T.A., Turkot, R.B., Jr.: Atomic layer etching: An industry perspective. ECS J. Solid State Sci. Technol. 4, N5005 (2015)

    Article  CAS  Google Scholar 

  6. Park, S., Oh, C., Lee, D., Yeom, G.: Surface roughness variation during Si atomic layer etching by chlorine adsorption followed by an Ar neutral beam irradiation. Electrochem. Solid State Lett. 8, C177 (2005)

    Article  CAS  Google Scholar 

  7. Kanarik, K.J., Tan, S., Gottscho, R.A.: Atomic layer etching: rethinking the art of etch. J. Phys. Chem. Lett. 9, 4814–4821 (2018)

    Article  CAS  Google Scholar 

  8. Gasvoda, R.J., van de Steeg, A.W., Bhowmick, R., Hudson, E.A., Agarwal, S.: Surface phenomena during plasma-assisted atomic layer etching of SiO2. ACS Appl. Mater. Interf. 9, 31067–31075 (2017)

    Article  CAS  Google Scholar 

  9. Reaz, M., Tonigan, A.M., Li, K., Smith, M.B., Rony, M.W., Gorchichko, M., O’Hara, A., Linten, D., Mitard, J., Fang, J.: 3-D Full-band Monte Carlo simulation of hot-electron energy distributions in gate-all-around Si nanowire MOSFETs. IEEE Trans. Electron Devices 68, 2556–2563 (2021)

    Article  CAS  Google Scholar 

  10. Agrawal, N., Kimura, Y., Arghavani, R., Datta, S.: Impact of transistor architecture (bulk planar, trigate on bulk, ultrathin-body planar SOI) and material (silicon or III–V semiconductor) on variation for logic and SRAM applications. IEEE Trans. Electron Devices 60, 3298–3304 (2013)

    Article  CAS  Google Scholar 

  11. Lee, C.G., Kanarik, K.J., Gottscho, R.A.: The grand challenges of plasma etching: a manufacturing perspective. J. Phys. D Appl. Phys. 47, 273001 (2014)

    Article  Google Scholar 

  12. Gottscho, R.A., Jurgensen, C.W., Vitkavage, D.: Microscopic uniformity in plasma etching. J. Vac. Sci. Technol. B 10, 2133–2147 (1992)

    Article  CAS  Google Scholar 

  13. Arnold, J.C., Gray, D.C., Sawin, H.H.: Influence of reactant transport on fluorine reactive ion etching of deep trenches in silicon. J. Vac. Sci. Technol. B 11, 2071–2080 (1993)

    Article  Google Scholar 

  14. Lieberman, M.A., Lichtenberg, A.J.: Principles of plasma discharges and materials processing. MRS Bull. 30, 899–901 (1994)

    Google Scholar 

  15. Matsui, J., Maeshige, K., Makabe, T.: Effect of aspect ratio on topographic dependent charging in oxide etching. J. Phys. D Appl. Phys. 34, 2950 (2001)

    Article  CAS  Google Scholar 

  16. Nojiri, K., Tsunokuni, K.: Study of gate oxide breakdown caused by charge buildup during dry etching. J. Vac. Sci. Technol. B 11, 1819–1824 (1993)

    Article  CAS  Google Scholar 

  17. Bailey, A.D., III., Gottscho, R.A.: Aspect ratio independent etching: fact or fantasy? Jpn. J. Appl. Phys. 34, 2083 (1995)

    Article  CAS  Google Scholar 

  18. Zhao, Y., Wang, G.-C., Lu, T.-M.: Characterization of amorphous and crystalline rough surface--principles and applications. Elsevier

  19. Oldiges, P., Lin, Q., Petrillo, K., Sanchez, M., Ieong, M. and Hargrove, M.: Modeling line edge roughness effects in sub 100 nanometer gate length devices. In: 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No. 00TH8502) pp. 131–134 (2000)

  20. Asenov, A., Kaya, S., Brown, A.R.: Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness. IEEE Trans. Electron. Devices 50, 1254–1260 (2003)

    Article  CAS  Google Scholar 

  21. Patterson, K., Sturtevant, J.L., Alvis, J.R., Benavides, N., Bonser, D., Cave, N., Nelson-Thomas, C., Taylor, W.D., Turnquest, K.L.: Experimental determination of the impact of polysilicon LER on sub-100-nm transistor performance. Metrol. Inspect. Process Control Microlithogr. XV 4344, 809–814 (2001)

    Article  Google Scholar 

  22. Marschner, T., Lee, A., Fuchs, S., Voelkel, L., Stief, C.: 193-nm resist roughness characterization and process propagation investigation using a CD-SEM. Metrol. Inspect. Process Control Microlithogr. XVIII 5375, 477–485 (2004)

    Article  Google Scholar 

  23. Shibata, K., Izumi, N., Tsujita, K.: Influence of line-edge roughness on MOSFET devices with sub-50-nm gates. Metrol. Inspect. Process Control Microlithogr. XVIII 5375, 865–873 (2004)

    Article  CAS  Google Scholar 

  24. Kim, S.-D., Wada, H., Woo, J.C.: TCAD-based statistical analysis and modeling of gate line-edge roughness effect on nanoscale MOS transistor performance and scaling. IEEE Trans. Semicond. Manuf. 17, 192–200 (2004)

    Article  Google Scholar 

  25. Xiong, S., Bokor, J., Xiang, Q., Fisher, P., Dudley, I.M., Rao, P.: Gate line edge roughness effects in 50-nm bulk MOSFET devices. Metrol. Inspect. Process Control Microlithogr. XVI 4689, 733–741 (2002)

    Article  Google Scholar 

  26. Xiong, S., Bokor, J.: A simulation study of gate line edge roughness effects on doping profiles of short-channel MOSFET devices. IEEE Trans. Electron. Devices 51, 228–232 (2004)

    Article  Google Scholar 

  27. Lee, J.-Y., Shin, J., Kim, H.-W., Woo, S.-G., Cho, H.-K., Han, W.-S., Moon, J.-T.: Effect of line-edge roughness (LER) and line-width roughness (LWR) on sub-100 nm device performance. Adv. Resist. Technol. Process XXI 5376, 426–433 (2004)

    Article  CAS  Google Scholar 

  28. Palasantzas, G., De Hosson, J.T.M.: The effect of mound roughness on the electrical capacitance of a thin insulating film. Solid State Commun. 118, 203–206 (2001)

    Article  CAS  Google Scholar 

  29. Dixit, D., O’Mullane, S., Sunkoju, S., Hosler, E.R., Kamineni, V., Preil, M., Keller, N., Race, J., Muthinti, G.R., Diebold, A.C.: Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry. Metrol. Inspect. Process Control. Microlithogr. XXIX 9424, 843–857 (2015)

    Google Scholar 

  30. Pani, S., Wong, C., Sudharsanam, K., Lim, V.: Direct measurement of sidewall roughness of polymeric optical waveguides. Appl. Surf. Sci. 239, 445–450 (2005)

    Article  CAS  Google Scholar 

  31. Koo, J., Kleinstreuer, C.: Liquid flow in microchannels: experimental observations and computational analyses of microfluidics effects. J. Micromech. Microeng. 13, 568 (2003)

    Article  Google Scholar 

  32. Constantoudis, V., Patsis, G., Leunissen, L., Gogolides, E.: Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions. J. Vac. Sci. Technol. B 22, 1974–1981 (2004)

    Article  CAS  Google Scholar 

  33. Yamaguchi, A., Tsuchiya, R., Fukuda, H., Komuro, O., Kawada, H., Iizumi, T.: Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance. Metrol. Inspect. Process Control Microlithogr. XVII 5038, 689–698 (2003)

    Article  Google Scholar 

  34. Yamaguchi, A., Ichinose, K., Shimamoto, S., Fukuda, H., Tsuchiya, R., Ohnishi, K., Kawada, H., Iizumi, T.: Metrology of LER: influence of line-edge roughness (LER) on transistor performance. Metrol. Inspect. Process Control Microlithogr. XVIII 5375, 468–476 (2004)

    Article  CAS  Google Scholar 

  35. Constantoudis, V., Gogolides, E., Roberts, J., Stowers, J.K.: Characterization and modeling of line width roughness (LWR). Metrol. Inspect. Process Control Microlithogr. XIX 5752, 1227–1236 (2005)

    Article  Google Scholar 

  36. George, S.M., Lee, Y.: Prospects for thermal atomic layer etching using sequential, self-limiting fluorination and ligand-exchange reactions. ACS Nano 10, 4889–4894 (2016)

    Article  CAS  Google Scholar 

  37. Donnelly, V.M., Economou, D.J.: Atomic Layer Etching with Pulsed Plasmas. Google Patents

  38. Gottscho, R., Kanarik, K.: APS 64th Annual GEC. Salt Lake City, UT (2011)

  39. Profijt, H., Potts, S., Van de Sanden, M., Kessels, W.: Plasma-assisted atomic layer deposition: basics, opportunities, and challenges. J. Vac. Sci. Technol., A: Vac., Surf. Films 29, 050801 (2011)

    Article  Google Scholar 

  40. Brichon, P., Despiau-Pujo, E., Joubert, O.: MD simulations of low energy Clx+ ions interaction with ultrathin silicon layers for advanced etch processes. J. Vac. Sci. Technol., A: Vac., Surf. Films 32, 021301 (2014)

    Article  Google Scholar 

  41. Gou, F., Neyts, E., Eckert, M., Tinck, S., Bogaerts, A.: Molecular dynamics simulations of Cl+ etching on a Si (100) surface. J. Appl. Phys. 107, 113305 (2010)

    Article  Google Scholar 

  42. Kim, J.K., Cho, S.I., Lee, S.H., Kim, C.K., Min, K.S., Yeom, G.Y.: Atomic layer etching removal of damaged layers in a contact hole for low sheet resistance. J. Vac. Sci. Technol., A: Vac., Surf. Films 31, 061302 (2013)

    Article  Google Scholar 

  43. Imai, S., Haga, T., Matsuzaki, O., Hattori, T., Matsumura, M.: Atomic layer etching of silicon by thermal desorption method. Jpn. J. Appl. Phys. 34, 5049 (1995)

    Article  CAS  Google Scholar 

  44. Hagstrum, H.D.: Auger ejection of electrons from tungsten by noble gas ions. Phys. Rev. 96, 325 (1954)

    Article  CAS  Google Scholar 

  45. Athavale, S.D., Economou, D.J.: Molecular dynamics simulation of atomic layer etching of silicon. J. Vac. Sci. Technol., A: Vac., Surf. Films 13, 966–971 (1995)

    Article  CAS  Google Scholar 

  46. Steinbrüchel, C.: Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy. Appl. Phys. Lett. 55, 1960–1962 (1989)

    Article  Google Scholar 

  47. Sigmund, P.: Theory of sputtering. I. Sputtering yield of amorphous and polycrystalline targets. Phys. Rev. 184, 383 (1969)

    Article  CAS  Google Scholar 

  48. Horiike, Y., Tanaka, T., Nakano, M., Iseda, S., Sakaue, H., Nagata, A., Shindo, H., Miyazaki, S., Hirose, M.: Digital chemical vapor deposition and etching technologies for semiconductor processing. J. Vac. Sci. Technol., A: Vac., Surf. Films 8, 1844–1850 (1990)

    Article  CAS  Google Scholar 

  49. Wu, E.Y., Nowak, E.J., Vayshenker, A., Lai, W.L., Harmon, D.L.: CMOS scaling beyond the 100-nm node with silicon-dioxide-based gate dielectrics. IBM J. Res. Dev. 46, 287–298 (2002)

    Article  CAS  Google Scholar 

  50. Robertson, J.: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2005)

    Article  Google Scholar 

  51. Wang, B., Huang, W., Chi, L., Al-Hashimi, M., Marks, T.J., Facchetti, A.: High-k gate dielectrics for emerging flexible and stretchable electronics. Chem. Rev. 118, 5690–5754 (2018)

    Article  CAS  Google Scholar 

  52. Lin, Y.-S., Puthenkovilakam, R., Chang, J.: Dielectric property and thermal stability of HfO 2 on silicon. Appl. Phys. Lett. 81, 2041–2043 (2002)

    Article  CAS  Google Scholar 

  53. Chang, J., Lin, Y., Berger, S., Kepten, A., Bloom, R., Levy, S.: Ultrathin zirconium oxide films as alternative gate dielectrics. J. Vac. Sci. Technol. B 19, 2137–2143 (2001)

    Article  CAS  Google Scholar 

  54. Copel, M., Gribelyuk, M., Gusev, E.: Structure and stability of ultrathin zirconium oxide layers on Si (001). Appl. Phys. Lett. 76, 436–438 (2000)

    Article  CAS  Google Scholar 

  55. Yu, B.-S., Ha, T.-J.: High dielectric performance of solution-processed aluminum oxide-boron nitride composite films. Electron. Mater. Lett. 14, 563–568 (2018)

    Article  CAS  Google Scholar 

  56. Sharma, A., Chourasia, N.K., Acharya, V., Pal, N., Biring, S., Liu, S.-W., Pal, B.N.: Ultra-low voltage metal oxide thin film transistor by low-temperature annealed solution processed LiAlO 2 gate dielectric. Electron. Mater. Lett. 16, 22–34 (2020)

    Article  CAS  Google Scholar 

  57. Wang, Z.L.: Zinc oxide nanostructures: growth, properties and applications. J. Phys. Condens. Matter 16, R829 (2004)

    Article  CAS  Google Scholar 

  58. Jeong, J.S., Lee, J.Y., Cho, J.H., Suh, H.J., Lee, C.J.: Single-crystalline ZnO microtubes formed by coalescence of ZnO nanowires using a simple metal-vapor deposition method. Chem. Mater. 17, 2752–2756 (2005)

    Article  CAS  Google Scholar 

  59. Wu, W.C., Lai, C.S., Wang, T.M., Wang, J.C., Hsu, C.W., Ma, M.W., Chao, T.S.: Current transport mechanism for HfO2 gate dielectrics with fluorine incorporation. Electrochem. Solid State Lett. 11, H15 (2007)

    Article  Google Scholar 

  60. Wu, W.C., Lai, C.S., Wang, J.C., Chen, J.H., Ma, M.W., Chao, T.S.: High-performance HfO2 gate dielectrics fluorinated by postdeposition CF4 plasma treatment. J. Electrochem. Soc. 154, H561 (2007)

    Article  CAS  Google Scholar 

  61. Hussain, M.M., Song, S.-C., Barnett, J., Kang, C.Y., Gebara, G., Sassman, B., Moumen, N.: Plasma-induced damage in high-$ k $/metal gate stack dry etch. IEEE Electron Device Lett. 27, 972–974 (2006)

    Article  CAS  Google Scholar 

  62. Park, J.B., Lim, W.S., Park, B.J., Park, I.H., Kim, Y.W., Yeom, G.Y.: Atomic layer etching of ultra-thin HfO2 film for gate oxide in MOSFET devices. J. Phys. D Appl. Phys. 42, 055202 (2009)

    Article  Google Scholar 

  63. Park, S., Lim, W., Park, B., Lee, H., Bae, J., Yeom, G.: Precise depth control and low-damage atomic-layer etching of HfO2 using BCl3 and Ar neutral beam. Electrochem. Solid State Lett. 11, H71 (2008)

    Article  CAS  Google Scholar 

  64. Dimiev, A., Kosynkin, D.V., Sinitskii, A., Slesarev, A., Sun, Z., Tour, J.M.: Layer-by-layer removal of graphene for device patterning. Science 331, 1168–1172 (2011)

    Article  CAS  Google Scholar 

  65. Lee, Y., George, S.M.: Atomic layer etching of Al2O3 using sequential, self-limiting thermal reactions with Sn(acac)2 and hydrogen fluoride. ACS Nano 9, 2061–2070 (2015)

    Article  CAS  Google Scholar 

  66. Lee, Y., Huffman, C., George, S.M.: Selectivity in thermal atomic layer etching using sequential, self-limiting fluorination and ligand-exchange reactions. Chem. Mater. 28, 7657–7665 (2016)

    Article  CAS  Google Scholar 

  67. Faraz, T., Roozeboom, F., Knoops, H., Kessels, W.: Atomic layer etching: What can we learn from atomic layer deposition? ECS J. Solid State Sci. Technol. 4, N5023 (2015)

    Article  CAS  Google Scholar 

  68. Lemaire, P.C., Parsons, G.N.: Thermal selective vapor etching of TiO2: chemical vapor etching via WF6 and self-limiting atomic layer etching using WF6 and BCl3. Chem. Mater. 29, 6653–6665 (2017)

    Article  CAS  Google Scholar 

  69. Zhu, J., Liu, Z.G.: Structure and dielectric properties of ultra-thin ZrO2 films for high-k gate dielectric application prepared by pulsed laser deposition. Appl. Phys. A 78, 741–744 (2004)

    Article  CAS  Google Scholar 

  70. Perkins, C.M., Triplett, B.B., McIntyre, P.C., Saraswat, K.C., Haukka, S., Tuominen, M.: Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition. Appl. Phys. Lett. 78, 2357–2359 (2001)

    Article  CAS  Google Scholar 

  71. Lim, W.S., Park, J.B., Park, J.Y., Park, B.J., Yeom, G.Y.: Low damage atomic layer etching of ZrO2 by using BCl3 gas and ar neutral beam. J. Nanosci. Nanotechnol. 9, 7379–7382 (2009)

    Article  CAS  Google Scholar 

  72. Cho, M.-H., Roh, Y.S., Whang, C.N., Jeong, K., Nahm, S.W., Ko, D.-H., Lee, J.H., Lee, N.I., Fujihara, K.: Thermal stability and structural characteristics of HfO2 films on Si (100) grown by atomic-layer deposition. Appl. Phys. Lett. 81, 472–474 (2002)

    Article  CAS  Google Scholar 

  73. Choi, J.H., Mao, Y., Chang, J.P.: Development of hafnium based high-k materials—A review. Mater. Sci. Eng. R. Rep. 72, 97–136 (2011)

    Article  Google Scholar 

  74. Lee, Y., DuMont, J.W., George, S.M.: Atomic layer etching of HfO2 using sequential, self-limiting thermal reactions with Sn(acac)2 and HF. ECS J. Solid State Sci. Technol. 4, N5013 (2015)

    Article  CAS  Google Scholar 

  75. Kanarik, K.J., Tan, S., Yang, W., Kim, T., Lill, T., Kabansky, A., Hudson, E.A., Ohba, T., Nojiri, K., Yu, J.: Predicting synergy in atomic layer etching. J. Vac. Sci. Technol., A: Vac., Surf. Films 35, 05C302 (2017)

    Article  Google Scholar 

  76. Lim, W.S., Kim, Y.Y., Kim, H., Jang, S., Kwon, N., Park, B.J., Ahn, J.-H., Chung, I., Hong, B.H., Yeom, G.Y.: Atomic layer etching of graphene for full graphene device fabrication. Carbon 50, 429–435 (2012)

    Article  CAS  Google Scholar 

  77. Min, K., Kang, S., Kim, J., Yum, J., Jhon, Y., Hudnall, T.W., Bielawski, C., Banerjee, S., Bersuker, G., Jhon, M.: Atomic layer etching of BeO using BCl3/Ar for the interface passivation layer of III–V MOS devices. Microelectron. Eng. 114, 121–125 (2014)

    Article  CAS  Google Scholar 

  78. Kim, K.S., Kim, K.H., Nam, Y., Jeon, J., Yim, S., Singh, E., Lee, J.Y., Lee, S.J., Jung, Y.S., Yeom, G.Y.: Atomic layer etching mechanism of MoS2 for nanodevices. ACS Appl. Mater. Interf. 9, 11967–11976 (2017)

    Article  CAS  Google Scholar 

  79. Chen, J.K.-C., Altieri, N.D., Kim, T., Chen, E., Lill, T., Shen, M., Chang, J.P.: Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. J. Vacuum Sci. Technol. A Vacuum Surf Films 35, 05C305 (2017)

    Article  Google Scholar 

  80. San Kim, D., Kim, J.E., Gill, Y.J., Jang, Y.J., Kim, Y.E., Kim, K.N., Yeom, G.Y., Kim, D.W.: Anisotropic/isotropic atomic layer etching of metals. Appl. Sci. Conv. Technol. 29, 41–49 (2020)

    Article  Google Scholar 

  81. Choi, D., Barmak, K.: On the potential of tungsten as next-generation semiconductor interconnects. Electron. Mater. Lett. 13, 449–456 (2017)

    Article  CAS  Google Scholar 

  82. Tan, L., Han, S., Chen, S., Hang, T., Ling, H., Wu, Y., Li, M.: The evolution of microstructure and resistance in electroplated copper films by linear integrated laser scanning annealing. Electron. Mater. Lett. 17, 207–214 (2021)

    Article  CAS  Google Scholar 

  83. Park, H., Seo, H., Kim, S.E.: Characteristics of copper nitride nanolayer used in 3D Cu bonding interconnects. Electron. Mater. Lett. 5, 1–7 (2021)

    Google Scholar 

  84. Jiang, Q.-T., Tsai, M.-H., Havemann, R.: Line width dependence of copper resistivity. In: Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No. 01EX461) pp. 227–229 (2001)

  85. Choi, D., Kim, C.S., Naveh, D., Chung, S., Warren, A.P., Nuhfer, N.T., Toney, M.F., Coffey, K.R., Barmak, K.: Electron mean free path of tungsten and the electrical resistivity of epitaxial (110) tungsten films. Phys. Rev. B 86, 045432 (2012)

    Article  Google Scholar 

  86. Chen, F., Gardner, D.: Influence of line dimensions on the resistance of Cu interconnections. IEEE Electron Device Lett. 19, 508–510 (1998)

    Article  CAS  Google Scholar 

  87. Sun, T., Yao, B., Warren, A.P., Kumar, V., Roberts, S., Barmak, K., Coffey, K.R.: Classical size effect in oxide-encapsulated Cu thin films: Impact of grain boundaries versus surfaces on resistivity. J. Vac. Sci. Technol., A: Vac., Surf. Films 26, 605–609 (2008)

    Article  CAS  Google Scholar 

  88. Sun, T., Yao, B., Warren, A.P., Barmak, K., Toney, M.F., Peale, R.E., Coffey, K.R.: Dominant role of grain boundary scattering in the resistivity of nanometric Cu films. Phys. Rev. B 79, 041402 (2009)

    Article  Google Scholar 

  89. Sun, T., Yao, B., Warren, A.P., Barmak, K., Toney, M.F., Peale, R.E., Coffey, K.R.: Surface and grain-boundary scattering in nanometric Cu films. Phys. Rev. B 81, 155454 (2010)

    Article  Google Scholar 

  90. Shang, H., White, M.H., Guarini, K.W., Solomon, P., Cartier, E., McFeely, F.R., Yurkas, J.J., Lee, W.-C.: Interface studies of tungsten gate metal–oxide–silicon capacitors. Appl. Phys. Lett. 78, 3139–3141 (2001)

    Article  CAS  Google Scholar 

  91. Davidson, B., Seghete, D., George, S., Bright, V.: ALD tungsten NEMS switches and tunneling devices. Sens. Actuators, A 166, 269–276 (2011)

    Article  CAS  Google Scholar 

  92. Lloyd, J.R., Lane, M.W., Liniger, E.G., Hu, C.-K., Shaw, T.M., Rosenberg, R.: Electromigration and adhesion. IEEE Trans. Device Mater. Reliab. 5, 113–118 (2005)

    Article  CAS  Google Scholar 

  93. Lloyd, J., Clemens, J., Snede, R.: Copper metallization reliability. Microelectron. Reliab. 39, 1595–1602 (1999)

    Article  Google Scholar 

  94. Hau-Riege, C.S.: An introduction to Cu electromigration. Microelectron. Reliab. 44, 195–205 (2004)

    Article  CAS  Google Scholar 

  95. Choi, D., Wang, B., Chung, S., Liu, X., Darbal, A., Wise, A., Nuhfer, N.T., Barmak, K., Warren, A.P., Coffey, K.R.: Phase, grain structure, stress, and resistivity of sputter-deposited tungsten films. J. Vac. Sci. Technol., A: Vac., Surf. Films 29, 051512 (2011)

    Article  Google Scholar 

  96. Rossnagel, S., Kuan, T.: Alteration of Cu conductivity in the size effect regime. J. Vac. Sci. Technol. B 22, 240–247 (2004)

    Article  CAS  Google Scholar 

  97. Radamson, H.H., Zhang, Y., He, X., Cui, H., Li, J., Xiang, J., Liu, J., Gu, S., Wang, G.: The challenges of advanced CMOS process from 2D to 3D. Appl. Sci. 7, 1047 (2017)

    Article  Google Scholar 

  98. Deng, B., Liu, Z., Peng, H.: Toward mass production of CVD graphene films. Adv. Mater. 31, 1800996 (2019)

    Article  Google Scholar 

  99. Kim, K.S., Ji, Y.J., Nam, Y., Kim, K.H., Singh, E., Lee, J.Y., Yeom, G.Y.: Atomic layer etching of graphene through controlled ion beam for graphene-based electronics. Sci. Rep. 7, 1–9 (2017)

    Google Scholar 

  100. Russo, S., Craciun, M., Yamamoto, M., Morpurgo, A., Tarucha, S.: Contact resistance in graphene-based devices. Phys. E 42, 677–679 (2010)

    Article  CAS  Google Scholar 

  101. Kanarik, K.J., Lill, T., Hudson, E.A., Sriraman, S., Tan, S., Marks, J., Vahedi, V., Gottscho, R.A.: Overview of atomic layer etching in the semiconductor industry. J. Vac. Sci. Technol., A: Vac., Surf. Films 33, 020802 (2015)

    Article  Google Scholar 

  102. George, S.M.: Atomic layer deposition: an overview. Chem. Rev. 110, 111–131 (2010)

    Article  CAS  Google Scholar 

  103. Lee, Y., George, S.M.: Atomic layer etching of Al2O3 using sequential, self-limiting thermal reactions with Sn (acac) 2 and hydrogen fluoride. ACS Nano 9, 2061–2070 (2015)

    Article  CAS  Google Scholar 

  104. Lee, Y., George, S.M.: Thermal atomic layer etching of titanium nitride using sequential, self-limiting reactions: Oxidation to TiO2 and fluorination to volatile TiF4. Chem. Mater. 29, 8202–8210 (2017)

    Article  CAS  Google Scholar 

  105. Shinoda, K., Miyoshi, N., Kobayashi, H., Izawa, M., Ishikawa, K., Hori, M.: Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O2 downstream plasma. J. Phys. D Appl. Phys. 52, (2019)

  106. Young, K.K.: Short-channel effect in fully depleted SOI MOSFETs. IEEE Trans. Electron. Devices 36, 399–402 (1989)

    Article  Google Scholar 

  107. Feldbach, E., Zerr, A., Museur, L., Kitaura, M., Manthilake, G., Tessier, F., Krasnenko, V., Kanaev, A.: Electronic Band Transitions in γ-Ge3N4. Electron. Mater. Lett. 17, 315–323 (2021)

    Article  CAS  Google Scholar 

  108. Petti, C., McVittie, J., Plummer, J.: Characterization of surface mobility on the sidewalls of dry-etched trenches, pp. 104–107. Technical Digest, International Electron Devices Meeting (1988)

    Google Scholar 

  109. Zhang, Y., Sun, M., Liu, Z., Piedra, D., Hu, J., Gao, X., Palacios, T.: Trench formation and corner rounding in vertical GaN power devices. Appl. Phys. Lett. 110, 193506 (2017)

    Article  Google Scholar 

  110. Lanford, W., Tanaka, T., Otoki, Y., Adesida, I.: Recessed-gate enhancement-mode GaN HEMT with high threshold voltage. Electron. Lett. 41, 449–450 (2005)

    Article  CAS  Google Scholar 

  111. Hu, X., Simin, G., Yang, J., Khan, M.A., Gaska, R., Shur, M.: Enhancement mode AlGaN/GaN HFET with selectively grown pn junction gate. Electron. Lett. 36, 753–754 (2000)

    Article  CAS  Google Scholar 

  112. Mileham, J., Pearton, S., Abernathy, C., MacKenzie, J., Shul, R., Kilcoyne, S.: Patterning of AlN, InN, and GaN in KOH-based solutions. J. Vac. Sci. Technol., A: Vac., Surf. Films 14, 836–839 (1996)

    Article  CAS  Google Scholar 

  113. Pearton, S., Abernathy, C., Ren, F.: Low bias electron cyclotron resonance plasma etching of GaN, AlN, and InN. Appl. Phys. Lett. 64, 2294–2296 (1994)

    Article  CAS  Google Scholar 

  114. Adesida, I., Mahajan, A., Andideh, E., Khan, M.A., Olsen, D., Kuznia, J.: Reactive ion etching of gallium nitride in silicon tetrachloride plasmasa. Appl. Phys. Lett. 63, 2777–2779 (1993)

    Article  CAS  Google Scholar 

  115. Lin, M., Fan, Z., Ma, Z., Allen, L., Morkoc, H.: Reactive ion etching of GaN using BCl3. Appl. Phys. Lett. 64, 887–888 (1994)

    Article  CAS  Google Scholar 

  116. Ping, A., Adesida, I., Khan, M.A., Kuznia, J.: Reactive ion etching of gallium nitride using hydrogen bromide plasmas. Electron. Lett. 30, 1895–1897 (1994)

    Article  CAS  Google Scholar 

  117. Lee, H., Oberman, D.B., Harris, J.S., Jr.: Reactive ion etching of GaN using CHF3/Ar and C2ClF5/Ar plasmas. Appl. Phys. Lett. 67, 1754–1756 (1995)

    Article  CAS  Google Scholar 

  118. Pearton, S., Abernathy, C., Ren, F., Lothian, J., Wisk, P., Katz, A., Constantine, C.: Dry etching of thin-film InN, AlN and GaN. Semicond. Sci. Technol. 8, 310 (1993)

    Article  CAS  Google Scholar 

  119. Zhang, L., Ramer, J., Brown, J., Zheng, K., Lester, L., Hersee, S.: Electron cyclotron resonance etching characteristics of GaN in SiCl4/Ar. Appl. Phys. Lett. 68, 367–369 (1996)

    Article  CAS  Google Scholar 

  120. Shul, R., Kilcoyne, S., Hagerott Crawford, M., Parmeter, J., Vartuli, C., Abernathy, C., Pearton, S.: High temperature electron cyclotron resonance etching of GaN, InN, and AlN. Appl. Phys. Lett. 66, 1761–1763 (1995)

    Article  CAS  Google Scholar 

  121. Nakamura, S., Senoh, M., Nagahama, S.-I., Iwasa, N., Yamada, T., Matsushita, T., Kiyoku, H., Sugimoto, Y.: InGaN-based multi-quantum-well-structure laser diodes. Jpn. J. Appl. Phys. 35, L74 (1996)

    Article  CAS  Google Scholar 

  122. Vartuli, C., Pearton, S., Lee, J., Hong, J., MacKenzie, J., Abernathy, C., Shul, R.: ICl/Ar electron cyclotron resonance plasma etching of III–V nitrides. Appl. Phys. Lett. 69, 1426–1428 (1996)

    Article  CAS  Google Scholar 

  123. Cho, H., Vartuli, C., Abernathy, C., Donovan, S., Pearton, S., Shul, R., Han, J.: Cl2-based dry etching of the AlGaInN system in inductively coupled plasmas. Solid-State Electron. 42, 2277–2281 (1998)

    Article  CAS  Google Scholar 

  124. Kim, H.-S., Yeom, G.-Y., Lee, J.-W., Kim, T.-I.: A study of GaN etch mechanisms using inductively coupled Cl2/Ar plasmas. Thin Solid Films 341, 180–183 (1999)

    Article  CAS  Google Scholar 

  125. Schoenfeld, W., Chen, C.-H., Petroff, P., Hu, E.: Argon ion damage in self-assembled quantum dots structures. Appl. Phys. Lett. 73, 2935–2937 (1998)

    Article  CAS  Google Scholar 

  126. Hacke, P., Detchprohm, T., Hiramatsu, K., Sawaki, N.: Schottky barrier on n-type GaN grown by hydride vapor phase epitaxy. Appl. Phys. Lett. 63, 2676–2678 (1993)

    Article  CAS  Google Scholar 

  127. Guo, J., Feng, M., Guo, R., Pan, F.-M., Chang, C.: Study of Schottky barriers on n-type GaN grown by low-pressure metalorganic chemical vapor deposition. Appl. Phys. Lett. 67, 2657–2659 (1995)

    Article  CAS  Google Scholar 

  128. Rong, B., van der Drift, E., Reeves, R., Sloof, W., Cheung, R.: Inductively coupled plasma etching of GaN and its effect on electrical characteristics. J. Vac. Sci. Technol. B 19, 2917–2920 (2001)

    Article  CAS  Google Scholar 

  129. Khan, F., Zhou, L., Kumar, V., Adesida, I.: Plasma-induced damage study for n-GaN using inductively coupled plasma reactive ion etching. J. Vac. Sci. Technol. B 19, 2926–2929 (2001)

    Article  CAS  Google Scholar 

  130. Kawakami, R., Inaoka, T., Tominaga, K., Kuwahara, A., Mukai, T.: Synergy effect of particle radiation and ultraviolet radiation from capacitively coupled radio frequency argon plasmas on n-GaN etching damage. Jpn. J. Appl. Phys. 47, 6863 (2008)

    Article  CAS  Google Scholar 

  131. Minami, M., Tomiya, S., Ishikawa, K., Matsumoto, R., Chen, S., Fukasawa, M., Uesawa, F., Sekine, M., Hori, M., Tatsumi, T.: Analysis of GaN damage induced by Cl2/SiCl4/Ar plasma. Jpn. J. Appl. Phys. 50, 08JE03 (2011)

    Article  Google Scholar 

  132. Kawakami, R., Inaoka, T.: Effect of argon plasma etching damage on electrical characteristics of gallium nitride. Vacuum 83, 490–492 (2008)

    Article  CAS  Google Scholar 

  133. Cao, X., Cho, H., Pearton, S., Dang, G., Zhang, A., Ren, F., Shul, R., Zhang, L., Hickman, R., Van Hove, J.: Depth and thermal stability of dry etch damage in GaN Schottky diodes. Appl. Phys. Lett. 75, 232–234 (1999)

    Article  CAS  Google Scholar 

  134. Lee, J.-M., Chang, K.-M., Kim, S.-W., Huh, C., Lee, I.-H., Park, S.-J.: Dry etch damage in n-type GaN and its recovery by treatment with an N 2 plasma. J. Appl. Phys. 87, 7667–7670 (2000)

    Article  CAS  Google Scholar 

  135. Na, S.-I., Ha, G.-Y., Han, D.-S., Kim, S.-S., Kim, J.-Y., Lim, J.-H., Kim, D.-J., Min, K.-I., Park, S.-J.: Selective wet etching of p-GaN for efficient GaN-based light-emitting diodes. IEEE Photonics Technol. Lett. 18, 1512–1514 (2006)

    Article  CAS  Google Scholar 

  136. Hierro, A., Ringel, S., Hansen, M., Speck, J., Mishra, U., DenBaars, S.: Hydrogen passivation of deep levels in n–GaN. Appl. Phys. Lett. 77, 1499–1501 (2000)

    Article  CAS  Google Scholar 

  137. Chen, S., Ishikawa, K., Lu, Y., Kometani, R., Kondo, H., Tokuda, Y., Egawa, T., Amano, H., Sekine, M., Hori, M.: Individual roles of atoms and ions during hydrogen plasma passivation of surface defects on GaN created by plasma etching. Jpn. J. Appl. Phys. 51, 111002 (2012)

    Article  Google Scholar 

  138. Tan, S., Yang, W., Kanarik, K.J., Lill, T., Vahedi, V., Marks, J., Gottscho, R.A.: Highly selective directional atomic layer etching of silicon. ECS J. Solid State Sci. Technol. 4, N5010 (2015)

    Article  CAS  Google Scholar 

  139. Ohba, T., Yang, W., Tan, S., Kanarik, K.J., Nojiri, K.: Atomic layer etching of GaN and AlGaN using directional plasma-enhanced approach. Jpn. J. Appl. Phys. 56, 06HB06 (2017)

    Article  Google Scholar 

  140. Mackus, A.J., Merkx, M.J., Kessels, W.M.: From the bottom-up: Toward area-selective atomic layer deposition with high selectivity. Chem. Mater. 31, 2–12 (2018)

    Article  Google Scholar 

  141. Song, S.K., Saare, H., Parsons, G.N.: Integrated isothermal atomic layer deposition/atomic layer etching supercycles for area-selective deposition of TiO2. Chem. Mater. 31, 4793–4804 (2019)

    Article  CAS  Google Scholar 

Download references

Acknowledgements

This work was supported by the Technology Innovation Program(20012609) funded by the Ministry of Trade, Industry and Energy(MOTIE,KOREA). This research was supported by the Chung-Ang University Graduate Research Scholarship in 2021.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sung Gyu Pyo.

Ethics declarations

Conflict of interest

There are no conflicts to declare.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kim, D.S., Kim, J.B., Ahn, D.W. et al. Atomic Layer Etching Applications in Nano-Semiconductor Device Fabrication. Electron. Mater. Lett. 19, 424–441 (2023). https://doi.org/10.1007/s13391-023-00409-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13391-023-00409-4

Keywords

Navigation