Skip to main content
Log in

Mechanical aspects of the chemical mechanical polishing process: A review

  • Invited Paper
  • Published:
International Journal of Precision Engineering and Manufacturing Aims and scope Submit manuscript

Abstract

Chemical mechanical polishing (CMP) is an essential semiconductor manufacturing process because of its local and global planarization ability in fabricating highly integrated devices. The CMP process uses both chemical reaction and mechanical polishing simultaneously. The combination of chemical reaction and mechanical removal in CMP is so complex that understanding the material removal mechanism has been a challenge for researchers and engineers. The chemical reaction mechanism is determined by the chemical composition of the CMP slurry and the material property of the target material. However, the mechanical action is a complex result of various mechanical factors of the process parameters and consumables. The mechanical material removal is a cornerstone of understanding and predicting CMP results. This review focuses on the mechanical aspects in CMP in terms of the process parameters and consumables of the CMP process that directly influence the material removal characteristics.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

Abbreviations

MRR :

material removal rate

K :

Preston’s coefficient

P :

pressure

V :

relative velocity

P avg :

average value of pressure

V avg :

average value of relative velocity

Ω:

spatial parameter

r 0 :

distance between the centers of pad and wafer

ω p :

angular velocity of platen

ω w :

angular velocity of wafer

R :

radial distance of a point on wafer from wafer center

t :

polishing time

References

  1. Steigerwald, J. M., Murarka, S. P., and Gutmann, R. J., “Chemical Mechanical Planarization of Microelectronic Materials, John Wiley & Sons, pp. 1–5, 1997.

    Google Scholar 

  2. Zantye, P. B., Kumar, A., and Sikder, A. K., “Chemical Mechanical Planarization for Microelectronics Applications, Materials Science and Engineering: R: Reports, Vol. 45, No. 3, pp. 89–220, 2004.

    Article  Google Scholar 

  3. Lee, H., Kim, D., Jeong, H., and Kim, K., “Chemical Mechanical Polishing of a Ti-Si-N Nanocomposite and AFM Study on Its Nanostructure, Journal of the Korean Physical Society, Vol. 57, No. 4, pp. 845–849, 2010.

    MathSciNet  Google Scholar 

  4. Xu, G., Liang, H., Zhao, J., and Li, Y., “Investigation of Copper Removal Mechanisms during CMP, Journal of the Electrochemical Society, Vol. 151, No. 10, pp. G688–G692, 2004.

    Article  Google Scholar 

  5. Tseng, W. T., Chin, J. H., and Kang, L. C., “A Comparative Study on the Roles of Velocity in the Material Removal Rate during Chemical Mechanical Polishing, Journal of the Electrochemical Society, Vol. 146, No. 5, pp. 1952–1959, 1999.

    Article  Google Scholar 

  6. Park, S.-J., Lee, H.-S., and Jeong, H.-D., “Signal Analysis of CMP Process based on AE Monitoring System, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 1, pp. 15–19, 2015.

    Article  Google Scholar 

  7. Lee, H. and Jeong, H., “A Wafer-Scale Material Removal Rate Profile Model for Copper Chemical Mechanical Planarization, International Journal of Machine Tools and Manufacture, Vol. 51, No. 5, pp. 395–403, 2011.

    Article  MathSciNet  Google Scholar 

  8. Hocheng, H., Tsai, H., and Tsai, M., “Effects of Kinematic Variables on Nonuniformity in Chemical Mechanical Planarization, International Journal of Machine Tools and Manufacture, Vol. 40, No. 11, pp. 1651–1669, 2000.

    Article  Google Scholar 

  9. Wang, Y.-G., Chen, Y., and Zhao, Y.-W., “Chemical Mechanical Planarization of Silicon Wafers at Natural pH for Green Manufacturing, Int. J. Precis. Eng. Manuf., Vol. 16, No. 9, pp. 2049–2054, 2015.

    Article  Google Scholar 

  10. Fury, M.A., “The Early Days of CMP, Solid State Technology, Vol. 40, No. 5, pp. 81–84, 1997.

    Google Scholar 

  11. Yuh, M., Jang, S., Kim, H., Lee, H., and Jeong, H., “Development of Green CMP by Slurry Reduction through Controlling Platen Coolant Temperature, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 4, pp. 339–344, 2015.

    Article  Google Scholar 

  12. Forsberg, M., Keskitalo, N., and Olsson, J., “Effect of Dopants on Chemical Mechanical Polishing of Silicon, Microelectronic Engineering, Vol. 60, No. 1, pp. 149–155, 2002.

    Article  Google Scholar 

  13. Sallagoity, P., Gaillard, F., Rivoire, M., Paoli, M., Haond, M., and McClathie, S., “STI Process Steps for Sub-Quarter Micron CMOS, Microelectronics Reliability, Vol. 38, No. 2, pp. 271–276, 1998.

    Article  Google Scholar 

  14. Yu, C., Fazan, P. C., Mathews, V. K., and Doan, T. T., “Dishing Effects in a Chemical Mechanical Polishing Planarization Process for Advanced Trench Isolation, Applied Physics Letters, Vol. 61, No. 11, pp. 1344–1346, 1992.

    Article  Google Scholar 

  15. Ko, B., Yoo, H. C., and Park, J. G., “Effects of Pattern Density on CMP Removal Rate and Uniformity, Journal of the Korean Physical Society, Vol. 39, pp. S318–S321, 2001.

    Google Scholar 

  16. Kim, D., Kim, H., Lee, S., and Jeong, H., “Effect of Initial Deflection of Diamond Wire on Thickness Variation of Sapphire Wafer in Multi-Wire Saw, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 2, pp. 117–121, 2015.

    Article  Google Scholar 

  17. Jeong, J. Y. and Lee, W. G., “Formation of Tungsten Oxide Defects during Tungsten CMP, Electrochemical and Solid-State Letters, Vol. 6, No. 3, pp. G45–G47, 2003.

    Article  MathSciNet  Google Scholar 

  18. Lee, W.-S., Kim, S.-Y., Seo, Y.-J., and Lee, J.-K., “An Optimization of Tungsten Plug Chemical Mechanical Polishing (CMP) using Different Consumables, Journal of Materials Science: Materials in Electronics, Vol. 12, No. 1, pp. 63–68, 2001.

    MathSciNet  Google Scholar 

  19. Xu, Q. and Chen, L., “A Material Removal Rate Model for Aluminum Gate Chemical Mechanical Planarization, ECS Journal of Solid State Science and Technology, Vol. 4, No. 3, pp. P101–P107, 2015.

    Article  Google Scholar 

  20. Lee, H., Park, B., and Jeong, H., “Influence of Slurry Components on Uniformity in Copper Chemical Mechanical Planarization, Microelectronic Engineering, Vol. 85, No. 4, pp. 689–696, 2008.

    Article  Google Scholar 

  21. Banerjee, G. and Rhoades, R. L., “Chemical Mechanical Planarization Historical Review and Future Direction, ECS Transactions, Vol. 13, No. 4, pp. 1–19, 2008.

    Article  Google Scholar 

  22. Lee, H., Joo, S., Kim, H., and Jeong, H., “Chemical Mechanical Planarization Method for Thick Copper Films of Micro-Electro-Mechanical Systems and Integrated Circuits, Japanese Journal of Applied Physics, Vol. 47, No. 7R, pp. 5708, 2008.

    Article  Google Scholar 

  23. Xu, S. and Wang, Y., “Overcome Challenges in Si/Cu CMP for Back Side TSV, ECS Transactions, Vol. 44, No. 1, pp. 513–517, 2012.

    Article  Google Scholar 

  24. Lee, H., Wang, H., Park, J., and Jeong, H., “Experimental Investigation of Process Parameters for Roll-Type Linear Chemical Mechanical Polishing (Roll-CMP) System, Precision Engineering, Vol. 38, No. 4, pp. 928–934, 2014.

    Article  Google Scholar 

  25. Jang, S., Jeong, H., Yuh, M., and Park, J., “Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 1, pp. 59–63, 2015.

    Article  Google Scholar 

  26. Si, L., Guo, D., Luo, J., Lu, X., and Xie, G., “Abrasive Rolling Effects on Material Removal and Surface Finish in Chemical Mechanical Polishing Analyzed by Molecular Dynamics Simulation, Journal of Applied Physics, Vol. 109, No. 8, Paper No. 084335, 2011.

    Google Scholar 

  27. Hong, S., Bae, J., Koo, B., Chang, I., Cho, G. Y., et al., “Nanostructuring Methods for Enhancing Light Absorption Rate of Si-based Photovoltaic Devices: A Review, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 1, No. 1, pp. 67–74, 2014.

    Article  Google Scholar 

  28. Kaufman, F. B., Thompson, D. B., Broadie, R. E., Jaso, M. A., Guthrie, W. L., et al., “Chemical-Mechanical Polishing for Fabricating Patterned W Metal Features as Chip Interconnects, Journal of the Electrochemical Society, Vol. 138, No. 11, pp. 3460–3465, 1991.

    Article  Google Scholar 

  29. Lee, H. S. and Jeong, H. D., “Chemical and Mechanical Balance in Polishing of Electronic Materials for Defect-Free Surfaces, CIRP Annals-Manufacturing Technology, Vol. 58, No. 1, pp. 485–490, 2009.

    Article  MathSciNet  Google Scholar 

  30. Yanwu, M., Hui, Z., Kai, Z., and Peiqing, Y., “Wafer Back Pressure Control and Optimization in the CMP Process, Journal of Semiconductors, Vol. 32, No. 12, Paper No. 126002, 2011.

    Google Scholar 

  31. Park, Y., Jeong, H., Choi, S., and Jeong, H., “Planarization of Wafer Edge Profile in Chemical Mechanical Polishing, Int. J. Precis. Eng. Manuf., Vol. 14, No. 1, pp. 11–15, 2013.

    Article  Google Scholar 

  32. Preston, F., “The Theory and Design of Plate Glass Polishing Machines, Journal of Society of Glass Technology, Vol. 11, pp. 214–256, 1927.

    Google Scholar 

  33. Tseng, W. T., Chin, J. H., and Kang, L. C., “A Comparative Study on the Roles of Velocity in the Material Removal Rate during Chemical Mechanical Polishing, Journal of the Electrochemical Society, Vol. 146, No. 5, pp. 1952–1959, 1999.

    Article  Google Scholar 

  34. Castillo-Mejia, D. and Beaudoin, S., “A Locally Relevant Prestonian Model for Wafer Polishing, Journal of the Electrochemical Society, Vol. 150, No. 2, pp. G96–G102, 2003.

    Article  Google Scholar 

  35. Lee, C., Park, J., Kinoshita, M., and Jeong, H., “Analysis of Pressure Distribution and Verification of Pressure Signal by Changes Load and Velocity in Chemical Mechanical Polishing, Int. J. Precis. Eng. Manuf., Vol. 16, No. 6, pp. 1061–1066, 2015.

    Article  Google Scholar 

  36. Wang, D., Lee, J., Holland, K., Bibby, T., Beaudoin, S., and Cale, T., “Von Mises Stress in ChemicalMechanical Polishing Processes, Journal of the Electrochemical Society, Vol. 144, No. 3, pp. 1121–1127, 1997.

    Article  Google Scholar 

  37. Lee, H., Park, Y., Lee, S., and Jeong, H., “Effect of Wafer Size on Material Removal Rate and Its Distribution in Chemical Mechanical Polishing of Silicon Dioxide Film, Journal of Mechanical Science and Technology, Vol. 27, No. 10, pp. 2911–2916, 2013.

    Article  Google Scholar 

  38. Chen, D. Z. and Lee, B. S., “Pattern Planarization Model of Chemical Mechanical Polishing, Journal of the Electrochemical Society, Vol. 146, No. 2, pp. 744–748, 1999.

    Article  Google Scholar 

  39. Roover, D., Emami-Naeini, A., and Ebert, J. L., “Model-based Control for Chemical-Mechanical Planarization (CMP), Proc. of IEEE American Control Conference, Vol. 5, pp. 3922–3929, 2004.

    Google Scholar 

  40. Lee, H. S., “Empirical modeling of wafer-scale removal profile using spatial parameter in copper CMP, Ph.D. Thesis, Department of Mechanical Engineering, Pusan National University, 2010.

    Google Scholar 

  41. Sorooshian, J., DeNardis, D., Charns, L., Li, Z., Shadman, F., et al., “Arrhenius Characterization of ILD and Copper CMP Processes, Journal of the Electrochemical Society, Vol. 151, No. 2, pp. G85–G88, 2004.

    Article  Google Scholar 

  42. Lee, H., Dornfeld, D. A., and Jeong, H., “Mathematical Model-based Evaluation Methodology for Environmental Burden of Chemical Mechanical Planarization Process, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 1, No. 1, pp. 11–15, 2014.

    Article  Google Scholar 

  43. Li, Z., Borucki, L., Koshiyama, I., and Philipossian, A., “Effect of Slurry Flow Rate on Tribological, Thermal, and Removal Rate Attributes of Copper CMP, Journal of The Electrochemical Society, Vol. 151, No. 7, pp. G482–G487, 2004.

    Article  Google Scholar 

  44. Philipossian, A. and Olsen, S., “Effect of Slurry Flow Rate on Pad Life during Interlayer Dielectric CMP, Journal of The Electrochemical Society, Vol. 151, No. 6, pp. G436–G439, 2004.

    Article  Google Scholar 

  45. Lee, D., Lee, H., and Jeong, H., “The Effects of a Spray Slurry Nozzle on Copper CMP for Reduction in Slurry Consumption, Journal of Mechanical Science and Technology, Vol. 29, No. 12, pp. 5057–5062, 2015.

    Article  Google Scholar 

  46. Dureja, J. S., Singh, R., Singh, T., Singh, P., Dogra, M., and Bhatti, M. S., “Performance Evaluation of Coated Carbide Tool in Machining of Stainless Steel (AISI 202) Under Minimum Quantity Lubrication (MQL), Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 2, pp. 123–129, 2015.

    Article  Google Scholar 

  47. Merklein, M., Andreas, K., and Steiner, J., “Influence of Tool Surface on Tribological Conditions in Conventional and Dry Sheet Metal Forming, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 2, pp. 131–137, 2015.

    Article  Google Scholar 

  48. Tian, Y., Zhong, Z., and Ng, J. H., “Effects of Chemical Slurries on Fixed Abrasive Chemical-Mechanical Polishing of Optical Silicon Substrates, Int. J. Precis. Eng. Manuf., Vol. 14, No. 8, pp. 1447–1454, 2013.

    Article  Google Scholar 

  49. Estragnat, E., Tang, G., Liang, H., Jahanmir, S., Pei, P., and Martin, J., “Experimental Investigation on Mechanisms of Silicon Chemical Mechanical Polishing, Journal of Electronic Materials, Vol. 33, No. 4, pp. 334–339, 2004.

    Article  Google Scholar 

  50. Song, X.-L., Xu, D.-Y., Zhang, X.-W., Shi, X.-D., Jiang, N., and Qiu, G.-Z., “Electrochemical Behavior and Polishing Properties of Silicon Wafer in Alkaline Slurry with Abrasive CeO2, Transactions of Nonferrous Metals Society of China, Vol. 18, No. 1, pp. 178–182, 2008.

    Article  Google Scholar 

  51. Lee, H. and Jeong, H., “Analysis of Removal Mechanism on Oxide CMP using Mixed Abrasive Slurry, Int. J. Precis. Eng. Manuf., Vol. 16, No. 3, pp. 603–607, 2015.

    Article  Google Scholar 

  52. Willardson, R. K., Weber, E. R., Li, S. M. H., and Miller, R. M., “Chemical Mechanical Polishing in Silicon Processing, Academic Press, 1999.

    Google Scholar 

  53. Kim, J. Y., Kim, S. K., Paik, U., Katoh, T., and Park, J. G., “Effect of Crystallinity of Ceria Particles on the Peteos Removal Rate in Chemical Mechanical Polishing for Shallow Trench Isolation, Journal of Korean Physical Society, Vol. 41, pp. 413–416, 2002.

    Google Scholar 

  54. Park, S.-W., Seo, Y.-J., and Lee, W.-S., “A Study on the Chemical Mechanical Polishing of Oxide Film using a Zirconia (ZrO2)-Mixed Abrasive Slurry (MAS), Microelectronic Engineering, Vol. 85, No. 4, pp. 682–688, 2008.

    Article  Google Scholar 

  55. Jia, Y. Q., Niu, X. H., Li, L., and Li, N., “The Study of Stability of Tungsten Plug CMP Slurry for IC Multilevel Interconnect, Advanced Materials Research, pp. 658–661, 2014.

    Google Scholar 

  56. Kishii, S., Hatada, A., Arimoto, Y., Kurokawa, S., and Doi, T. K., “Tungsten Film Chemical Mechanical Polishing using MnO2 Slurry, Japanese Journal of Applied Physics, Vol. 50, No. 7R, Paper No. 076502, 2011.

    Google Scholar 

  57. Lee, H., Lee, H., Jeong, H., Choi, S., Lee, Y., et al., “Macroscopic and Microscopic Investigation on Chemical Mechanical Polishing of sapphire Wafer, Journal of Nanoscience and Nanotechnology, Vol. 12, No. 2, pp. 1256–1259, 2012.

    Article  Google Scholar 

  58. Lee, H. S., Kim, D. I., An, J. H., Lee, H. J., Kim, K. H., and Jeong, H. D., “Hybrid Polishing Mechanism of Single Crystal Sic using Mixed Abrasive Slurry (MAS), CIRP Annals-Manufacturing Technology, Vol. 59, No. 1, pp. 333–336, 2010.

    Article  Google Scholar 

  59. Hayashi, S., Koga, T., and Goorsky, M. S., “Chemical Mechanical Polishing of GaN, Journal of The Electrochemical Society, Vol. 155, No. 2, pp. H113–H116, 2008.

    Article  Google Scholar 

  60. Kim, I.-K., Cho, B.-G., Park, J.-G., Park, J.-Y., and Park, H.-S., “Effect of pH in Ru Slurry with Sodium Periodate on Ru CMP, Journal of The Electrochemical Society, Vol. 156, No. 3, pp. H188–H192, 2009.

    Article  Google Scholar 

  61. Kim, I.-K., Kang, Y.-J., Kwon, T.-Y., Cho, B.-G., Park, J.-G., et al., “Effect of Sodium Periodate in Alumina-based Slurry on Ru CMP for Metal-Insulator-Metal Capacitor, Electrochemical and Solid-State Letters, Vol. 11, No. 6, pp. H150–H153, 2008.

    Article  Google Scholar 

  62. Jeng, Y.-R. and Huang, P.-Y., “A Material Removal Rate Model Considering Interfacial Micro-Contact Wear Behavior for Chemical Mechanical Polishing, Journal of Tribology, Vol. 127, No. 1, pp. 190–197, 2005.

    Article  Google Scholar 

  63. Oh, S. and Seok, J., “An Integrated Material Removal Model for Silicon Dioxide Layers in Chemical Mechanical Polishing Processes, Wear, Vol. 266, No. 7, pp. 839–849, 2009.

    Article  Google Scholar 

  64. Bielmann, M., Mahajan, U., and Singh, R. K., “Effect of Particle Size during Tungsten Chemical Mechanical Polishing, Electrochemical and Solid-State Letters, Vol. 2, No. 8, pp. 401–403, 1999.

    Article  Google Scholar 

  65. Luo, J. and Dornfeld, D. A., “Effects of Abrasive Size Distribution in Chemical Mechanical Planarization: Modeling and Verification, IEEE Transactions on Semiconductor Manufacturing, Vol. 16, No. 3, pp. 469–476, 2003.

    Article  Google Scholar 

  66. Xie, Y. and Bhushan, B., “Effects of Particle Size, Polishing Pad and Contact Pressure in Free Abrasive Polishing, Wear, Vol. 200, No. 1, pp. 281–295, 1996.

    Article  Google Scholar 

  67. Wang, Y., Zhao, Y., An, W., Ni, Z., and Wang, J., “Modeling Effects of Abrasive Particle Size and Concentration on Material Removal at Molecular Scale in Chemical Mechanical Polishing, Applied Surface Science, Vol. 257, No. 1, pp. 249–253, 2010.

    Article  Google Scholar 

  68. Lee, H., Joo, S., and Jeong, H., “Mechanical Effect of Colloidal Silica in Copper Chemical Mechanical Planarization, Journal of Materials Processing Technology, Vol. 209, No. 20, pp. 6134–6139, 2009.

    Article  Google Scholar 

  69. Zhang, Z., Liu, W., and Song, Z., “Particle Size and Surfactant Effects on Chemical Mechanical Polishing of Glass Using Silica-based Slurry, Applied Optics, Vol. 49, No. 28, pp. 5480–5485, 2010.

    Article  Google Scholar 

  70. Park, C., Kim, H., Lee, S., and Jeong, H., “The Influence of Abrasive Size on High-Pressure Chemical Mechanical Polishing of Sapphire Wafer, Int. J. Precis. Eng. Manuf.-Green Tech., Vol. 2, No. 2, pp. 157–162, 2015.

    Article  Google Scholar 

  71. Lee, H. S., Jeong, H. D., and Dornfeld, D. A., “Semi-Empirical Material Removal Rate Distribution Model for SiO2 Chemical Mechanical Polishing (CMP) Processes, Precision Engineering, Vol. 37, No. 2, pp. 483–490, 2013.

    Article  Google Scholar 

  72. Jiang, J. Z., Zhao, Y. W., Wang, Y. G., and Luo, J. B., “A Chemical Mechanical Polishing Model based on the Viscous Flow of the Amorphous Layer, Wear, Vol. 265, pp. 992–998, 2008.

    Google Scholar 

  73. Fu, G., Chandra, A., Guha, S., and Subhash, G., “A Plasticity-based Model of Material Removal in Chemical-Mechanical Polishing (CMP), IEEE Transactions on Semiconductor Manufacturing, Vol. 14, No. 4, pp. 406–417, 2001.

    Article  Google Scholar 

  74. Mahajan, U., Bielmann, M., and Singh, R. K., “Abrasive Effects in Oxide Chemical Mechanical Polishing, Materials Research Society, Vol. 566, pp. 27–32, 2000.

    Article  Google Scholar 

  75. Guo, Y., Lee, H., Lee, Y., and Jeong, H., “Effect of Pad Groove Geometry on Material Removal Characteristics in Chemical Mechanical Polishing, Int. J. Precis. Eng. Manuf., Vol. 13, No. 2, pp. 303–306, 2012.

    Article  Google Scholar 

  76. Greenwood, J. A. and Williamson, J. B. P., “Contact of Nominally Flat Surfaces, Proceedings of the Royal Society of London A: Mathematical, Physical and Engineering Sciences, pp. 300–319, 1966.

    Google Scholar 

  77. Johnson, K. L., “Contact Mechanics, Cambridge University Press, pp. 411–416, 1987.

    Google Scholar 

  78. Qin, K., Moudgil, B., and Park, C.-W., “A Chemical Mechanical Polishing Model Incorporating both the Chemical and Mechanical Effects, Thin Solid Films, Vol. 446, No. 2, pp. 277–286, 2004.

    Article  Google Scholar 

  79. Yeruva, S. B., Park, C.-W., Rabinovich, Y. I., and Moudgil, B. M., “Impact of Pad-Wafer Contact Area in Chemical Mechanical Polishing, Journal of The Electrochemical Society, Vol. 156, No. 10, pp. D408–D412, 2009.

    Article  Google Scholar 

  80. Elmufdi, C. L. and Muldowney, G. P., “A Novel Optical Technique to Measure Pad-Wafer Contact Area in Chemical Mechanical Planarization, MRS Proceedings, Vol. 914, DOI NO. http://dx.doi. org/10.1557/PROC-0914-F12-06, 2006.

    Google Scholar 

  81. Jiang, B. and Muldowney, G. P., “Computational Solid Mechanics Modeling of Asperity Deformation and Pad-Wafer Contact in CMP, MRS Proceedings, Vol. 991, DOI No. http://dx.doi.org/10.1557/PROC-0991-C01-05, 2007.

  82. Park, B., Lee, H., Park, K., Kim, H., and Jeong, H., “Pad Roughness Variation and Its Effect on Material Removal Profile in Ceria-based CMP Slurry, Journal of Materials Processing Technology, Vol. 203, No. 1, pp. 287–292, 2008.

    Article  Google Scholar 

  83. Jeong, H., Lee, H., Choi, S., Lee, Y., and Jeong, H., “Prediction of Real Contact Area from Microtopography on CMP Pad, Journal of Advanced Mechanical Design, Systems, and Manufacturing, Vol. 6, No. 1, pp. 113–120, 2012.

    Article  Google Scholar 

  84. Zhao, Y. and Chang, L., “A Micro-Contact and Wear Model for Chemical-Mechanical Polishing of Silicon Wafers, Wear, Vol. 252, No. 3, pp. 220–226, 2002.

    Article  Google Scholar 

  85. Yang, J. C., Oh, D. W., Kim, H. J., and Kim, T., “Investigation on Surface Hardening of Polyurethane Pads during Chemical Mechanical Polishing (CMP), Journal of Electronic Materials, Vol. 39, No. 3, pp. 338–346, 2010.

    Article  MathSciNet  Google Scholar 

  86. Luo, J. and Dornfeld, D. A., “Material Removal Mechanism in Chemical Mechanical Polishing: Theory and Modeling, IEEE Transactions on Semiconductor Manufacturing, Vol. 14, No. 2, pp. 112–133, 2001.

    Article  Google Scholar 

  87. Kim, H. J., Kim, H. Y., and Jeong, H. D., “Study on Pad Properties as Polishing Result Affecting Factors in Chemical Mechanical Polishing, J. Korean Soc. Precis. Eng., Vol. 17, No. 3, pp. 184–191, 2000.

    Google Scholar 

  88. Hooper, B. J., Byrne, G., and Galligan, S., “Pad Conditioning in Chemical Mechanical Polishing, Journal of Materials Processing Technology, Vol. 123, No. 1, pp. 107–113, 2002.

    Article  Google Scholar 

  89. McGrath, J. and Davis, C., “Polishing Pad Surface Characterisation in Chemical Mechanical Planarisation, Journal of Materials Processing Technology, Vols. 153-154, pp. 666–673, 2004.

    Article  Google Scholar 

  90. Li, Y., “Microelectronic Applications of Chemical Mechanical Planarization, John Wiley & Sons, pp. 142–145. 2008.

    Google Scholar 

  91. Kakireddy, R., Galpin, A., Smith, J., and Slutz, D., “Effects of CMP Pad Conditioner Properties and Performance on Polishing Pad, Process and Wafer Removal Rate, ECS Transactions, Vol. 33, No. 10, pp. 157–163, 2010.

    Article  Google Scholar 

  92. Tsai, M.-Y. and Peng, J.-D., “Investigation of a Novel Diamond Disk's Effect on Pad Topography in Oxide Chemical Mechanical Polishing, Materials and Manufacturing Processes, Vol. 25, No. 12, pp. 1440–1448, 2010.

    Article  Google Scholar 

  93. Baisie, E. A., Li, Z. C., and Zhang, X. H., “Design Optimization of Diamond Disk Pad Conditioners, The International Journal of Advanced Manufacturing Technology, Vol. 66, No. 9-12, pp. 2041–2052, 2013.

    Article  Google Scholar 

  94. Tsai, M. Y., Chen, C. H., Chiang, J. H., and Yeh, T. S., “Development and Analysis of Double-Faced Radial and Cluster-Arranged CMP Diamond Disk, Mathematical Problems in Engineering, Vol. 2014, Article ID: 918312, 2014.

  95. Kim, Y.-C. and Kang, S.-J.L., “Novel CVD Diamond-Coated Conditioner for Improved Performance in CMP Processes, International Journal of Machine Tools and Manufacture, Vol. 51, No. 6, pp. 565–568, 2011.

    Article  MathSciNet  Google Scholar 

  96. Sun, T., Borucki, L., Zhuang, Y., and Philipossian, A., “Investigation of Diamond Grit Size and Conditioning Force Effect on CMP Pads Topography, MRS Proceedings, Vol. 991, DOI No. http://dx.doi.org/10.1557/PROC-0991-C01-07, 2007.

  97. Nishi, Y. and Doering, R., “Handbook of Semiconductor Manufacturing Technology, CRC Press, 2nd Ed., p. 17, 2000.

    Google Scholar 

  98. Lee, H., Guo, Y., and Jeong, H., “Temperature Distribution in Polishing Pad during CMP Process: Effect of Retaining Ring, Int. J. Precis. Eng. Manuf., Vol. 13, No. 1, pp. 25–31, 2012.

    Article  Google Scholar 

  99. Park, Y., Lee, H., Lee, Y., Park, S., and Jeong, H., “Effect of Contact Angle between Retaining Ring and Polishing Pad on Material Removal Uniformity in CMP Process, Int. J. Precis. Eng. Manuf., Vol. 14, No. 9, pp. 1513–1518, 2013.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Haedo Jeong.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Lee, H., Lee, D. & Jeong, H. Mechanical aspects of the chemical mechanical polishing process: A review. Int. J. Precis. Eng. Manuf. 17, 525–536 (2016). https://doi.org/10.1007/s12541-016-0066-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12541-016-0066-0

Keywords

Navigation