Skip to main content

Advertisement

Log in

Energy efficient Gaussian filtering for multimedia applications using novel approximate adders

  • Published:
Sādhanā Aims and scope Submit manuscript

Abstract

The approximate computing has gained wide popularity to achieve low power and high-performance designs for portable devices employing multimedia applications. This paper presents a novel approximate full adder (AFA) cell by simplifying the sum and carry Boolean expressions. The proposed AFA requires a small number of logic gates with a very small probability of error. Further, novel energy-efficient approximate ripple carry adder (RCA) and carry look-ahead (CLA) adder architectures are presented that utilize proposed AFA cells. The proposed approximate RCA (ARCA) and approximate CLA (ACLA) adders are evaluated based on their implementation complexity and probability of error. The design metrics of proposed and existing adders are extracted by implementing all designs and synthesizing using Synopsys Design Compiler with 65 nm PDK. The synthesis results show that the proposed 16-bit ARCA and ACLA require 13.82% and 7.38% reduced power respectively over the existing approximate adder. Finally, the Gaussian smoothing filters (GSFs) embedded with proposed ARCA and ACLA consume 18.16% and 24.4% reduced energy compared to the GSF with existing approximate adder.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6

Similar content being viewed by others

References

  1. Mittal S 2016 A survey of techniques for approximate computing. ACM Comput. Surv. CSUR 26: 1–33

    Google Scholar 

  2. Dutt S, Dash S, Nandi S and Trivedi G 2018 Analysis, modeling and optimization of equal segment based approximate adders. IEEE Trans. Comput.

  3. Gupta V, Mohapatra D, Raghunathan A and Roy K 2013 Low-power digital signal processing using approximate adders. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1): 124–137

    Article  Google Scholar 

  4. Yang Z, Jain A, Liang J, Han J and Lombardi F 2013 Approximate XOR/XNOR-based adders for inexact computing. In: 2013 13th IEEE Conference on Nanotechnology (IEEE-NANo). IEEE, pp. 690–693

  5. Almurib H A, Kumar T N and Lombardi F 2016 Inexact designs for approximate low power addition by cell replacement. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016. IEEE, pp. 660–665

  6. Zhu N, Goh W L, Zhang W, Yeo K S and Kong Z H 2010 Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 8, pp. 1225–1229

  7. Mahdiani H R, Ahmadi A, Fakhraie S M and Lucas C 2010 Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans. Circuits Syst. I Regul. Pap.57(4): 850–862

    Article  MathSciNet  Google Scholar 

  8. Zhu N, Goh W L and Yeo K S 2009 An enhanced low-power high-speed adder for error-tolerant application. In: Proceedings of the 2009 12th International Symposium on Integrated Circuits, ISIC ’09, pp. 69–72

  9. Zhu N, Goh W L and Yeo K S 2011 Ultra low-power high-speed flexible probabilistic adder for error-tolerant applications. In: 2011 International SoC Design Conference (ISOCC), pp. 393–396

  10. Zhu N, Goh W L, Wang G and Yeo K S 2010 Enhanced low-power high-speed adder for error-tolerant application. In: 2010 International SoC Design Conference (ISOCC), pp. 323–327

  11. Pashaeifar M, Kamal M, Afzali-Kusha A and Pedram M 2018 Approximate reverse carry propagate adder for energy-efficient DSP applications. IEEE Trans. Very Large Scale Integr. VLSI Syst. (99): 1–12

  12. Kahng A and Kang S 2012 Accuracy-configurable adder for approximate arithmetic designs. In: 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 820–825

  13. Shafique M, Ahmad W, Hafiz R and Henkel J 2015 A low latency generic accuracy configurable adder. In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, pp. 1–6

  14. Hu J and Qian W 2015 A new approximate adder with low relative error and correct sign calculation. In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium, pp. 1449–1454

  15. Soares L B, da Rosa M M, Diniz C M, da Costa E A and Bampi S 2018 Exploring power-performance-quality tradeoff of approximate adders for energy efficient Sobel filtering. In: 2018 IEEE 9th Latin American Symposium on Circuits & Systems (LASCAS). IEEE, pp. 1–4

  16. Ye J, Togawa N, Yanagisawa M and Shi Y 2019 Static error analysis and optimization of faithfully truncated adders for area-power efficient FIR designs. In: IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, pp. 1–4

  17. Garg B, Goteti V C, Sharma G 2016 A low-cost energy efficient image scaling processor for multimedia applications. In: 20th International Symposium on VLSI Design and Test (VDAT). IEEE, pp. 1–6

  18. Garg G, Rai S K, Puranik A and Sharma G 2019 ES-COINA: A novel energy scalable quality-aware color interpolation architecture. Microprocess. Microsyst. 67: 8–17

    Article  Google Scholar 

  19. Tajasob S, Rezaalipour M, Dehyadegari M and Bojnordi M N 2018 Designing efficient imprecise adders using multi-bit approximate building blocks. In: Proceedings of the International Symposium on Low Power Electronics and Design, pp. 1–6

  20. Yang T, Ukezono T and Sato T 2018 A low-power yet high-speed configurable adder for approximate computing. In: 2018 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, pp. 1–5

  21. Garg B and Sharma G 2019 A process-tolerant low-power adder architecture for image processing applications. Turk. J. Electr. Eng. Comput. Sci. 27(3): 1839–1854

    Article  Google Scholar 

  22. Mirzaei M and Mohammadi S 2020 Process variation-aware approximate full adders for imprecision-tolerant applications. Comput. Electr. Eng. 87: 106761

    Article  Google Scholar 

  23. Patel S K, Garg B and Rai S K 2020 An efficient accuracy reconfigurable CLA adder designs using complementary logic. J. Electron. Test. 1–8

  24. Garg B and Patel S K 2021 Reconfigurable carry look-ahead adder trading accuracy for energy efficiency. J. Signal Process. Syst. 93(1): 99–111

    Article  Google Scholar 

  25. Garg B 2020 Energy-efficient Gaussian filter design using novel low-complexity accuracy reconfigurable reverse carry adder. Natl. Acad. Sci. Lett. 1–6

  26. Dalloo A, Najafi A and Garcia-Ortiz A 2018 Systematic design of an approximate adder: The optimized lower part constant-or adder. IEEE Trans. Very Large Scale Integr. VLSI Syst. 26(8): 1595–1599

    Article  Google Scholar 

  27. Balasubramanian P, Dang C, Maskell D L and Prasad K 2017 Approximate ripple carry and carry lookahead adders-a comparative analysis. In: 2017 IEEE 30th International Conference on Microelectronics (MIEL). IEEE, pp. 299–304

  28. Garg B, Dutt S and Sharma G 2016 Bit-width-aware constant-delay run-time accuracy programmable adder for error-resilient applications. Microelectron. J. 50: 1–7

    Article  Google Scholar 

  29. Akbari O, Kamal M, Afzali-Kusha A and Pedram M 2018 RAP-CLA: A reconfigurable approximate carry look-ahead adder. IEEE Trans. Circuits Syst. II Express Briefs 65(8): 1089–1093

    Article  Google Scholar 

  30. Yang T, Ukezono T and Sato T 2018 A low-power configurable adder for approximate applications. In: 19th International Symposium on Quality Electronic Design (ISQED). IEEE, pp. 347–352

  31. Frustaci F, Perri S, Corsonello P and Alioto M 2019 Energy-quality scalable adders based on nonzeroing bit truncation. IEEE Trans. Very Large Scale Integr. VLSI Syst. 27(4): 964–968

    Article  Google Scholar 

  32. Liang J, Han J and Lombardi F 2011 New metrics for the reliability approximate and probabilistic adders. IEEE Trans. Comput. PP(99): 1

  33. Wang Z, Bovik A, Sheikh H and Simoncelli E 2004 Image quality assessment: from error visibility to structural similarity. IEEE Trans. Image Process. 13(4): 600–612

    Article  Google Scholar 

  34. Garg B and Sharma B 2016 A quality-aware energy-scalable Gaussian smoothing filter for image processing applications. Microprocess. Microsyst. 45: 1–9

    Article  Google Scholar 

  35. Garg B and Sharma G 2015 PAID: Process aware imprecise DCT architecture trading quality for energy efficiency. J. Low Power Electron. 11(2): 121–132

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bharat Garg.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Garg, B. Energy efficient Gaussian filtering for multimedia applications using novel approximate adders. Sādhanā 46, 237 (2021). https://doi.org/10.1007/s12046-021-01753-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s12046-021-01753-7

Keywords

Navigation