Skip to main content
Log in

Low-Power Scan Testing: A Scan Chain Partitioning and Scan Hold Based Technique

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Power consumption during scan testing operations can be significantly higher than that expected in the normal functional mode of operation in the field. This may affect the reliability of the circuit under test (CUT) and/or invalidate the testing process increasing yield loss. In this paper, a scan chain partitioning technique and a scan hold mechanism are combined for low power scan operation. Substantial power reductions can be achieved, without any impact on the test application time or the fault coverage and without the need to use scan cell reordering or clock and data gating techniques. Furthermore, the proposed design solution for scan power alleviation, permits the efficient exploitation of X-filling techniques for capture power reduction or the use of extreme (power independent) compression techniques for test data volume reduction.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Almukhaizim S, Alsubaihi S, Sinanoglou O (2010) On the application of dynamic scan chain partitioning for reducing peak shift power. Springer J Electron Test Theory Appl 26:465–481

    Article  Google Scholar 

  2. Arvanity E, Tsiatouhas Y (2012) Low power scan by partitioning and scan hold, IEEE Symp. on Design and Diagnostics of Electronic Circuits and Systems, pp 262–265

  3. Balatsouka S, Tenentes V, Kavousianos X, Chakrabarty K (2010) Defect aware x-filling for low-power scan testing, IEEE/ACM Design Automation and Test in Europe Conference, pp 873–878

  4. Bhunia S, Mahmoodi H, Ghosh D, Mukhopadhyay S, Roy K (2005) Low-power scan design using first level supply gating. IEEE Tran VLSI Syst 13(3):384–395

    Article  Google Scholar 

  5. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2001) A gated clock scheme for low power scan testing of logic ICs or embedded cores, IEEE Asian Test Symposium, pp 253–258

  6. Butler K, Saxena J, Fryars T, Hetherington G, Jain A, Lewis J (2004) Minimizing power consumption in scan testing: pattern generation and DFT techniques, IEEE Int. Test Conference, pp 355–364

  7. Chalkia M, Tsiatouhas Y (2012) The leafs scan-chain for test application time and scan power reduction, IEEE Int. Conference on Electronics, Circuits and Systems, pp 749–752

  8. Chandra A, Chakrabarty K (2002) Low-power scan testing and test data compression for System-on-Chip. IEEE Tran CAD Integr Circ Syst 21(5):597–604

    Article  Google Scholar 

  9. Chandra A, Ng F, Kapur R (2008) Low power Illinois scan architecture for simultaneous power and test data volume reduction, IEEE/ACM Design Automation and Test in Europe Conference, pp 462–467

  10. Chiu M-H, Li J C-M (2005) Jump scan: a DFT technique for low power testing, IEEE VLSI Test Symposium, pp 277–282

  11. Chosh S, Basu S, Touba N (2003) Joint minimization of power and area in scan testing by scan cell reordering, IEEE Comp Soc Annu Symp VLSI, pp 246–249

  12. Czysz D, Kassab M, Lin X, Mrugalski G, Rajski J, Tyszer J (2008) Low power scan shift and capture in the EDT environment, IEEE International Test Conference, p 13.2

  13. Dabholkar V, Chakravarty S, Pomeranz I, Reddy SM (1998) Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Tran CAD Integr Circ Syst 17(12):1325–1333

    Article  Google Scholar 

  14. Gerstendorfer S, Wunderlich H (2000) Minimized power consumption for scan based BIST. J Electron Test Theory Appl 16(3):203–212

    Article  Google Scholar 

  15. Girard P, Guiller L, Landrault C, Pravossoudovitch S (1999) A test vector ordering technique for switching activity reduction during test application, IEEE Great Lakes Symp. on VLSI, p 24–27

  16. Kim H-S, Kang S, Hsiao M (2008) A new scan architecture for both low-power testing and test volume compression under SoC test environment. Springer J Electron Test Theory Appl 24:365–378

    Article  Google Scholar 

  17. Kim H-S, Kim C-G, Kang S (2008) A new scan partition scheme for low-power embedded systems. ETRI J 30(3):412–420

    Article  Google Scholar 

  18. Lee I-S, Hur Y-M, Ambler T (2004) The efficient multiple scan chain architecture reducing power dissipation ant test time, IEEE Asian Test Symposium, pp 94–97

  19. Li J, Xu Q, Hu Y, Li X (2010) X-filling for simultaneous shift- and capture-power reduction in at-speed scan-based testing. IEEE Trans VLSI Syst 18(7):1081–1092

    Article  Google Scholar 

  20. Nicolici N, Al-Hashimi B (2003) Power-constrained testing of VLSI circuits, Kluwer Academic Publishers

  21. Remersaro S, Lin X, Zhang Z, Reddy S, Pomeranz I, Rajski J (2006) Prefered fill: a scalable method to reduce capture power for scan based designs, IEEE International Test Conference, p 32.2

  22. Saeed SM, Sinanoglou O (2011) Expedited response compaction for scan power reduction, IEEE VLSI Test Symposium, pp 40–45

  23. Samaranayake S, Sitchinava N, Kapur R, Amin MB, Williams TW (2002) Dynamic scan: driving down the cost of test. IEEE Comput 35(2):63–68

    Article  Google Scholar 

  24. Sankaralingam R, Oruganti RR, Touba NA (2000) Static compaction techniques to control scan vector power dissipation, IEEE VLSI Test Symposium, pp 35–40

  25. Sankaralingam R, Pouya B, Touba N (2001) Reducing power dissipation during test using scan chain disable, IEEE VLSI Test Symposium, pp 319–324

  26. Sinanoglou O, Bayractaroglou I, Orailoglou A (2002) Test power reduction through minimization of scan chain transitions, IEEE VLSI Test Symposium, pp 166–171

  27. Tudu J, Larsson E, Singh V, Agrawal V (2009) On minimization of peak power for scan circuit during test, IEEE European Test Symposium, pp 25–30

  28. Vranken H, Waayers T, Fleury H, Lelouvier D (2001) Enhanced reduced-pin-count test for full-scan design, IEEE Int. Test Conference, pp 738–747

  29. Wang S, Gupta SK (1998) ATPG for heat dissipation minimization during test application. IEEE Trans Comput 47(2):256–262

    Article  Google Scholar 

  30. Wang L-T, Stroud C, Touba N (2008) System on chip test architectures, Morgan and Kaufmann Pub

  31. Wen X, Miyase K, Suzuki T, Yamato Y, Kajihara S, Wang L-T, Saluja KK (2006) A highly-guided x-filling method for effective low-capture-power scan test generation,” IEEE International Conference on Computer Design, pp 251–258

  32. Whetsel L (2000) Adapting scan architectures for low power operation, IEEE Int. Test Conference, pp 863–872

  33. Xiang D, Li K, Fujiwara H, Thulasiraman K, Sun J (2007) Constraining transition propagation for low-power scan testing using a two stage scan architecture. IEEE Trans Circ Syst − II Exp Briefs 54(5):450–454

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yiorgos Tsiatouhas.

Additional information

Responsible Editor: P. Girard

This research has been co-funded by the European Union (European Social Fund) and Greek national resources under the framework of the “Thales” project of the “Education & Lifelong Learning” Operational Program.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Arvaniti, E., Tsiatouhas, Y. Low-Power Scan Testing: A Scan Chain Partitioning and Scan Hold Based Technique. J Electron Test 30, 329–341 (2014). https://doi.org/10.1007/s10836-014-5453-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-014-5453-9

Keywords

Navigation