Skip to main content
Log in

Peak Temperature Minimization via Task Allocation and Splitting for Heterogeneous MPSoC Real-Time Systems

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

With the continued scaling of the CMOS devices, the exponential increase in power density has strikingly elevated the temperature of on-chip systems. Thus, thermal-aware design has become a pressing research issue in computing system, especially for real-time embedded systems with limited cooling techniques. In this paper, the authors formulate the thermal-aware real-time multiprocessor system-on-chip (MPSoC) task allocation and scheduling problem, present a task-to-processor assignment heuristics that improves the thermal profiles of tasks, and propose a task splitting policy that reduces the on-chip peak temperature. The thermal profiles of tasks are improved via task mapping by minimizing task steady state temperatures, and the task splitting technique is applied to reduce the peak temperature by enabling the alternation of hot task execution and slack time. The proposed algorithms explicitly exploits thermal characteristics of both tasks and processors to minimize the peak temperature without incurring significant overheads. Extensive simulations of benchmarking tasks were performed to validate the effectiveness of the proposed algorithms. Experimental results have shown that the task steady state temperature achieved by the proposed algorithm is 3.57 °C lower on average as compared to the benchmarking schemes, and the peak temperature of the proposed algorithm can be up to 11.5 % lower than that of the benchmarking schemes

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4

Similar content being viewed by others

References

  1. Narayanan, V., & Xie, Y. (2006). Reliability concerns in embedded system designs. Computer, 39(1), 118–120.

    Article  Google Scholar 

  2. Chantem, T., Xiang, Y., Hu, X., & Dick, R. (2013). Enhancing multicore reliability through wear compensation in online assignment and scheduling. In Proceedings of the international conference on design, automation and test in Europe (pp. 1373–1378).

  3. Coskun, A., Rosing, T., Whisnant, K., & Gross, K (2008). Static and dynamic temperature-aware scheduling for multiprocessor SoCs. IEEE Transactions on Very Large Scale Integration Systems, 16(9), 1127–1140.

    Article  Google Scholar 

  4. Saha, S., Lu, Y., & Deogun, J. (2012). Thermal-constrained energy-aware partitioning for heterogeneous multi-core multiprocessor real-time systems. In Proceedings of the international conference on embedded and real-time computing systems and applications (pp. 41–50).

  5. Bao, M., Andrei, A., Eles, P., & Peng, Z. (2008). Temperature-aware voltage selection for energy optimization. In Proceedings of the international conference on design, automation and test in Europe (pp. 1083–1086).

  6. Gupta, N., & Mahapatra, R. (2011). Temperature aware energy management for real-time scheduling. In: Proc. Int. Symp. on Quality Electronic Design. (pp. 1–6).

  7. Ebi, T., Amrouch, H., & Henkel, J. (2012). Cool: control-based optimization of load-balancing for thermal behavior. In Proceedings of the international conference on hardware/software codesign and system synthesis (pp. 255–264).

  8. Mulas, F., Pittau, M., Buttu, M., Carta, S., Acquaviva, A., Benini, L., & Atienza, D. (2008). Thermal balancing policy for streaming computing on multiprocessor architectures. In Proceedings of the international conference on design, automation and test in Europe (pp. 734–739).

  9. Ghahfarokhi, F., & Ejlali, A. (2010). Schedule swapping: a technique for temperature management of distributed embedded systems. In Proceedings of the international conference on embedded and ubiquitous computing (pp. 1–6).

  10. Chantem, T., Hu, X., & Dick, R. (2011). Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Transactions on Very Large Scale Interation Systems, 19(10), 1884–1897.

    Article  Google Scholar 

  11. Wei, T., Mishra, P., Wu, K., & Zhou, J. (2012). Quasi-static fault-tolerant scheduling schemes for energy-efficient hard real-time systems. Journal of Systems and Software, 85(6), 1386–1399.

    Article  Google Scholar 

  12. Singh, A., Das, A., & Kumar, A (2013). Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems. In Proceedings of the international conference on design automation.

  13. Murali, S., Mutapcic, A., Atienza, D., Gupta, R., Boyd, S., & Micheli, G. (2007). Temperature-aware processor frequency assignment for MPSoCs using convex optimization. In Proceedings of the international conference on hardware/software codesign and system synthesis (pp. 111–116).

  14. Chen, G., Huang, K., Huang, J., & Knoll, A. (2013). Cache partitioning and scheduling for energy optimization of real-time MPSoCs. In Proceedings of the international conference on application-specific systems, architectures and processors (pp. 35–41).

  15. Intel Corporation, Single-chip cloud computer (SCC). [Online]. Available: http://www.intel.com/content/www/us/en/research/intel-labs-single-chip-cloud-overview-paper.html.

  16. Huang, H., Chaturvedi, V., Quan, G., Fan, J., & Qiu, M. (2014). Throughput maximization for periodic real-time systems under the maximal temperature constraint. ACM Transactions on Embedded Computing Systems, 13 (2s).

  17. Liao, W., He, L., & Lepak, K. (2005). Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(7), 1042–1053.

    Article  Google Scholar 

  18. Liu, Y., Dick, R., Shang, L., & Yang, H. (2007). Accurate temperature-dependent integrated circuit leakage power estimation is easy. In Proceedings of the international conference on design, automation and test in Europe (pp. 1526–1531).

  19. Weste, N., & Eshraghian, K. (1992). Principles of CMOS VLSI design: a system perspective. Addison-Wesley Publishing Company.

  20. Skadron, K., Stan, M., Sankaranarayanan, K., Huang, W., Velusamy, S., & Tarjan, D. (2004). Temperature-aware microarchitecture: Modeling and implementation. ACM Transactions on Architecture and Code Optimization, 1(1), 94–125.

    Article  Google Scholar 

  21. Jayaseelan, R., & Mitra, T. (2008). Temperature aware task sequencing and voltage scaling. In Proceedings of the international conference on computer-aided design (pp. 618–623).

  22. Zhou, J., & Wei, T. (2015). Stochastic thermal-aware real-time task scheduling with considerations of soft errors. Journal of Systems and Software, 102, 123–133.

    Article  Google Scholar 

  23. Quan, G., & Chaturvedi, V. (2010). Feasibility analysis for temperature constraint hard real-time periodic tasks. IEEE Transactions on Industrial Informatics, 6(3), 329–339.

    Article  Google Scholar 

  24. Guthaus, M., Ringenberg, J., Ernst, D., Austin, T., Mudge, T., & Brown, R. (2001). Mibench: a free, commercially representative embedded benchmark suite. In Proceedings of the international workshop on workload characterization (pp. 3–14).

  25. Lee, C., Potkonjak, M., & Mangione-Smith, W. (1997). Mediabench: a tool for evaluating and synthesizing multimedia and communications systems. In Proceedings of the international symposium on microarchitecture (pp. 330–335).

  26. Li, S., Ahn, J., Strong, R., Brockman, J., Tullsen, D., & Jouppi, N. (2009). Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the international symposium on microarchitecture (pp. 469–480).

  27. Zapata, O., & Alvarez, P. (2005). EDF and RM multiprocessor scheduling algorithms. survey and performance evaluation. Seccion de Computacion Av. IPN.

Download references

Acknowledgements

This work was supported in part by the Natural Science Foundation of Shanghai City under the grant 12ZR1409200 and by the Scientific Research Foundation for Returned Scholars, Ministry of Education of China, under the grant 44420340.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tongquan Wei.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhou, J., Yan, J., Chen, J. et al. Peak Temperature Minimization via Task Allocation and Splitting for Heterogeneous MPSoC Real-Time Systems. J Sign Process Syst 84, 111–121 (2016). https://doi.org/10.1007/s11265-015-0994-4

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-015-0994-4

Keywords

Navigation