Skip to main content
Log in

Survey on chiplets: interface, interconnect and integration methodology

  • Review Paper
  • Published:
CCF Transactions on High Performance Computing Aims and scope Submit manuscript

Abstract

With the end of Moore's Law and Dennard scaling, it has become increasingly difficult to implement high-performance computing systems on a monolithic chip. The chiplet technology that integrates multiple small chips into a large-scale computing system through heterogeneous integration is one of the important development directions of high-performance computing. Chiplet-based systems have huge advantages over monolithic chip in terms of design and manufacturing cost and development efficiency. In this survey, we summarized the concept and history of chiplet and introduce the critical technology needed to implement chiplet-based system. Finally, we discuss several future research directions of chiplet-based system.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

References

  • Bharadwaj, S., Yin, J., Beckmann, B., Krishna, T.: Kite: a family of heterogeneous interposer topologies enabled via accurate interconnect modeling. In: Proceedings of the 57th ACM/IEEE Design Automation Conference, pp. 1–6. (2020)

  • Cadence: 3D-IC Design Solutions (2021). https://www.cadence.com/en_US/home/solutions/3dic-design-solutions.html

  • Carusone, A.C., Dehlaghi, B., Beerkens, R., Tonietto, D.: Ultra-short-reach interconnects for package-level integration. In: Proceedings of the IEEE Optical Interconnects Conference, pp. 10–11. (2016)

  • CCIX Consortium: Cache Coherent Interconnect for Accelerators (2017). http://www.ccixconsortium.com

  • DARPA: Common heterogeneous integration and ip reuse strategies (chips) (2021) https://www.darpa.mil/program/commonheterogeneous-integration-and-ip-reuse-strategies.

  • Dennard, R.H., Gaensslen, F.H., Yu, H., Rideout, V.L., Bassous, E., LeBlanc, A.R.: Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circ. 9(5), 256–268 (1974). https://doi.org/10.1109/JSSC.1974.1050511

    Article  Google Scholar 

  • Farjadrad, R., Kuemerle, M., Vinnakota, B.: A bunch-of-wires (BoW) interface for interchiplet communication. IEEE Micro 40(1), 15–24 (2019)

    Article  Google Scholar 

  • GlobeNewswire: AMD delivers semi-custom graphics chip for new intel processor (2017). http://www.nasdaq.com/press-release/amd-delivers-semicustomgraphics-chip-for-new-intel-processor-20171106-00859

  • Gomes, W., Khushu, S., Ingerly, D.B., Stover, P.N., Chowdhury, N.I., O'Mahony, F., Balankutty, A., Dolev, N., Dixon, M.G., Jiang, L., Prekke, S.: 8.1 Lakefield and Mobility Compute: A 3D Stacked 10nm and 22FFL Hybrid Processor System in 12× 12mm 2, 1mm Package-on-Package. In: Proceedings of the IEEE International Solid-State Circuits Conference, pp. 144–146 (2020)

  • Hwang, R., Kim, T., Kwon, Y., Rhu, M.: Centaur: A chiplet-based, hybrid sparse-dense accelerator for personalized recommendations. In: Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture, pp. 968–981. (2020)

  • IEEE: IEEE standard for test access architecture for three-dimensional stacked integrated circuits. (2020). https://doi.org/10.1109/IEEESTD.2020.9036129.

  • Ingerly, D.B., Amin, S., Aryasomayajula, L., Balankutty, A., Borst, D., Chandra, A., Cheemalapati, K., Cook, C.S., Criss, R., Enamul, K., Gomes, W.: Foveros: 3D integration and the use of face-to-face chip stacking for logic devices. In: Proceedings of the IEEE International Electron Devices Meeting, pp. 19–6 (2019)

  • Intel: intel/aib-phy-hardware (2020). https://github.com/intel/aib-phy-hardware

  • Intel: New Intel XPU Innovations Target HPC and AI (2021). https://www.intel.com/content/www/us/en/newsroom/news/new-intel-xpu-innovations-target-hpc-ai.html

  • JEDEC: High Bandwidth Memory (HBM) DRAM|JEDEC (2021). https://www.jedec.org/standards-documents/docs/jesd235a

  • Jerger, N.E., Kannan, A., Li, Z., Loh, G.H.: Noc architectures for silicon interposer systems: why pay for more wires when you can get them (from your interposer) for free?. In: Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 458–470. (2014)

  • Kabir, M.A., Peng, Y.: Chiplet-package co-design for 2.5 D systems using standard ASIC CAD tools. In: Proceedings of the 25th Asia and South Pacific Design Automation Conference, pp. 351–356. (2020)

  • Kada, M.: Research and development history of three-dimensional integration technology. In: Three-Dimensional Integration of Semiconductors, pp. 1–23 (2015)

  • Kandou: XSR/USR interface analysis including chord signaling options (2014). https://kandou.com/assets/downloads/presentation-XSR-USR-Interface-Analysis-Including-Chord-Signaling-Options.pdf

  • Kannan, A., Jerger, N.E., Loh, G.H.: Enabling interposer-based disintegration of multi-core processors. In: Proceedings of the 48th Annual IEEE/ACM International Symposium on Microarchitectur, pp. 546–558 (2015)

  • Kehlet, D.: Accelerating innovation through a standard chiplet interface: the advanced interface bus (AIB). Intel White Paper (2017).

  • Kim, J., Murali, G., Park, H., Qin, E., Kwon, H., Chekuri, V.C.K., Rahman, N.M., Dasari, N., Singh, A., Lee, M., Torun, H.M.: Architecture, chip, and package codesign flow for interposer-based 2.5-d chiplet integration enabling heterogeneous ip reuse. IEEE Trans Very Large Scale Integr (VLSI) Syst 28(11): 2424–2437 (2020)

  • Ko, H.G., Shin, S., Kye, C.H., Lee, S.Y., Yun, J., Jung, H.K., Lee, D., Kim, S., Jeong, D.K.: A 370-fJ/b, 0.0056 mm 2/DQ, 4.8-Gb/s DQ receiver for HBM3 with a baud-rate self-tracking loop. In: Proceedings of the Symposium on VLSI Circuits, pp. C94–C94. (2019)

  • Lan, J., Nambiar, V.P., Sabapathy, R., Dutta, R., Chong, C.T., Rotaru, M.D., Lin, K.K., Bhattacharya, S., Chai, K.T.C., Do, A.T.: An automatic chip-package co-design flow for multi-core neuromorphic computing SiPs. In: Proceedings of the IEEE 22nd Electronics Packaging Technology Conference, pp. 77–80. (2020)

  • Lau, J. H.: Semiconductor advanced packaging. Springer (2021)

  • Lenihan, T.G., Matthew, L., Vardaman, E.J.: Developments in 2.5 D: The role of silicon interposers. In: Proceedings of the IEEE 15th Electronics Packaging Technology Conference, pp. 53–55. (2013)

  • Lin, M.S., Huang, T.C., Tsai, C.C., Tam, K.H., Hsieh, K.C.H., Chen, C.F., Huang, W.H., Hu, C.W., Chen, Y.C., Goel, S.K., Fu, C.M.: A 7-nm 4-GHz Arm1-core-based CoWoS1 chiplet design for high-performance computing. IEEE J. Solid-State Circ. 55(4), 956–966 (2020)

    Article  Google Scholar 

  • Lin, M.S., Tsai, C.C., Chang, C.H., Huang, W.H., Hsu, Y.Y., Yang, S.C., Fu, C.M., Chou, M.H., Huang, T.C., Chen, C.F., Huang, T.C.: An extra low-power 1Tbit/s bandwidth PLL/DLL-less eDRAM PHY using 0.3 V low-swing IO for 2.5 D CoWoS application. In: Proceedings of the Symposium on VLSI Technology, pp. C16–C17 (2013)

  • Lin, M.S., Tsai, C.C., Hsieh, C.H., Huang, W.H., Chen, Y.C., Yang, S.C., Fu, C.M., Zhan, H.J., Chien, J.Y., Li, S.Y., Chen, Y.H.: A 16nm 256-bit wide 89.6 GByte/s total bandwidth in-package interconnect with 0.3 V swing and 0.062 pJ/bit power in InFO package. In: Proceedings of the IEEE Hot Chips 28 Symposium, pp. 1–32. (2016)

  • Mahajan, R., Sankman, R., Patel, N., Kim, D.W., Aygun, K., Qian, Z., Mekonnen, Y., Salama, I., Sharan, S., Iyengar, D., Mallik, D.: Embedded multi-die interconnect bridge (EMIB)—a high density, high bandwidth packaging interconnect. In: Proceedings of the IEEE 66th Electronic Components and Technology Conference, pp. 557–565. (2016)

  • Manusharow, M., Hasan, A., Chao, T.W. Guzy, M.: Dual die Pentium D package technology development. In: Proceedings of the 56th Electronic Components and Technology Conference, pp. 7 (2006)

  • Mayhew, D., Krishnan, V.: PCI Express and advanced switching: evolutionary path to building next generation interconnects. In: Proceedings of the 11th Symposium on High Performance Interconnects, pp. 21–29. (2003).

  • Moore, G.E.: Cramming more components onto integrated circuits, reprinted from electronics. IEEE Solid State Circ. Soc. Newslett. 11(3), 33–35 (2006). https://doi.org/10.1109/N-SSC.2006.4785860

    Article  Google Scholar 

  • Murphy, B.T.: Cost-size optima of monolithic integrated circuits. Proc. IEEE 52(12), 1537–1545 (1964)

    Article  Google Scholar 

  • MZ Technologies: Monozukuri-MZ Technologies Genio (2014). https://www.monozukuri.eu/

  • Naffziger, S., Lepak K., Paraschou M., Subramony M.: AMD chiplet architecture for high-performance server and desktop products. In Proceedings of the IEEE International Solid- State Circuits Conference, pp. 44–45. (2020).

  • OCP: Home » Open Compute Project (2011) https://www.opencompute.org/

  • ODSA Wiki: Server/ODSA–OpenCompute (2021). https://www.opencompute.org/wiki/Server/ODSA

  • OIF: OIF (1998). https://www.oiforum.com/

  • Pano, V., Kuttappa, R., Taskin, B.: 3D NoCs with active interposer for multi-die systems. In: Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, pp. 1–8. (2019)

  • Park, H., Kim, J., Chekuri, V.C.K., Dolatsara, M.A., Nabeel, M., Bojesomo, A., Patnaik, S., Sinanoglu, O., Swaminathan, M., Mukhopadhyay, S., Knechtel, J.: Design 25-D ICs and study of RISC-V architecture with secure NoC. IEEE Trans Comp Pack Manuf Technol 10(12), 2047–2060 (2020)

    Google Scholar 

  • Rajendiran K.: Die-to-die interface PHY and controller subsystem for next generation chiplets (2021). https://semiwiki.com/semiconductor-services/openfive/298127-die-to-die-interface-phy-and-controller-subsystem-for-next-generation-chiplets/

  • Rambus: 40G USR and C2C SerDes PHYs - Interface IP | Rambus (2021). https://www.rambus.com/interface-ip/serdes/40g-usr-and-c2c-serdes-phys/

  • Ramm, P., Franzon, P., Garrou, P., Swaminathan, R., Vivet, P., Badaroglu, M.: Heterogeneous integration and chiplet assembly–all between 2D and 3D. (2020)

  • Rosker, M.J., Greanya, V., Chang, T.H.: The DARPA compound semiconductor materials on silicon (COSMOS) program. In: Proceedings of the IEEE Compound Semiconductor Integrated Circuits Symposium, pp. 1–4. (2008)

  • Schor D.: OCP bunch of wires (2020). A new open chiplets interface for organic substrates. https://fuse.wikichip.org/news/3199/ocp-bunch-of-wires-a-new-open-chiplets-interface-for-organic-substrates/

  • Shao, Y.S., Clemons, J., Venkatesan, R., Zimmer, B., Fojtik, M., Jiang, N., Keller, B., Klinefelter, A., Pinckney, N., Raina, P., Tell, S.G.: Simba: Scaling deep-learning inference with multi-chip-module-based architecture. In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 14–27. (2019)

  • SiFive: SiFive TileLink specification (2017). https://sifive.cdn.prismic.io/sifive%2Fcab05224-2df1-4af8-adee-8d9cba3378cd_tilelink-spec-1.8.0.pdf

  • Stuecheli, J., Starke, W.J., Irish, J.D., Arimilli, L.B., Dreps, D., Blaner, B., Wollbrink, C., Allison, B.: IBM POWER9 opens up a new era of acceleration enablement: OpenCAPI. IBM J. Res. Dev. 62(4/5), 8–1 (2018)

    Article  Google Scholar 

  • Suggs, D., Subramony, M., Bouvier, D.: The AMD “Zen 2” processor. IEEE Micro 40(2), 45–52 (2020)

    Article  Google Scholar 

  • Sunohara, M., Tokunaga, T., Kurihara, T., Higashi, M.: Silicon interposer with TSVs (through silicon vias) and fine multilayer wiring. In: Proceedings of the 58th Electronic Components and Technology Conference, pp. 847–852. (2008)

  • Synopsys: 3DIC Compiler (2020). https://www.synopsys.com/implementation-and-signoff/3dic-design.html

  • Synopsys: DesignWare Die-to-die PHY IP solutions|Synopsys (2021). https://www.synopsys.com/designware-ip/interface-ip/die-to-die.html

  • Tan, Z., Cai, H., Dong, R., Ma, K.: NN-Baton: DNN workload orchestration and chiplet granularity exploration for multichip accelerators. In Proceedings of the ACM/IEEE 48th Annual International Symposium on Computer Architecture, pp. 1013–1026 (2021)

  • Van Doren, S.: HOTI 2019: compute express link. In: Proceedings of the IEEE Symposium on High-Performance Interconnects, pp. 18–18. (2019)

  • Vinnakota, B., Agarwal, I., Drucker, K., Jani, D., Miller, G.L., Mittal, M., Wang, R.: The open domain-specific architecture. IEEE Micro. (2020)

  • Wong, C.P., Michelle, M.: Wong: recent advances in plastic packaging of flip-chip and multichip modules (MCM) of microelectronics. IEEE Trans. Compon. Packag. Technol. 22(1), 21–25 (1999)

    Article  Google Scholar 

  • Wang, M., Wang, Y., Liu, C., Zhang, L.: Network-on-interposer design for agile neural-network processor chip customization. In: Proceedings of 58th ACM/IEEE Design Automation Conference (2021)

  • Yin, J., Lin, Z., Kayiran, O., Poremba, M., Altaf, M.S.B., Jerger, N.E., Loh, G.H.: Modular routing design for chiplet-based systems. In: Proceedings of the ACM/IEEE 45th Annual International Symposium on Computer Architecture, pp. 726–738 (2018)

  • Zaruba, F., Schuiki, F., Benini, L.: Manticore: A 4096-Core RISC-V chiplet architecture for ultraefficient floating-point computing. IEEE Micr. 41(2), 36–42 (2020)

    Article  Google Scholar 

  • Zheng, H., Wang, K., Louri, A.: A versatile and flexible chiplet-based system design for heterogeneous manycore architectures. In: Proceedings of the 57th ACM/IEEE Design Automation Conference, pp. 1–6 (2020)

  • Zimmer, B., Venkatesan, R., Shao, Y.S., Clemons, J., Fojtik, M., Jiang, N., Keller, B., Klinefelter, A., Pinckney, N., Raina, P., Tell, S.G.: A 0.11 pj/op, 0.32–128 tops, scalable multi-chip-module-based deep neural network accelerator with ground-reference signaling in 16nm. In: Proceedings of the Symposium on VLSI Circuits, pp. C300–C301 (2019)

  • Zimmer, B., Venkatesan, R., Shao, Y.S., Clemons, J., Fojtik, M., Jiang, N., Keller, B., Klinefelter, A., Pinckney, N., Raina, P., Tell, S.G.: A 0.32–128 TOPS, scalable multi-chip-module-based deep neural network inference accelerator with ground-referenced signaling in 16 nm. IEEE J Sol State Circ 55(4), 920–932 (2020)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ying Wang.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ma, X., Wang, Y., Wang, Y. et al. Survey on chiplets: interface, interconnect and integration methodology. CCF Trans. HPC 4, 43–52 (2022). https://doi.org/10.1007/s42514-022-00093-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s42514-022-00093-0

Keywords

Navigation