Skip to main content

Advertisement

Log in

Low-Power Resonant Clocking Using Soft Error Robust Energy Recovery Flip-Flops

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

An energy recovery or resonant clocking scheme is very attractive for saving the clock power in nanoscale ASICs and systems-on-chips, which have increased functionality and die sizes. The technology scaling followed Moore’s law, that lowers node capacitance and supply voltage, making nanoscale integrated circuits more vulnerable to radiation-induced single event upsets (SEUs) or soft errors. In this work, we propose soft-error robust flip-flops (FFs) capable of working with a sinusoidal resonant clock to save the overall chip power. The proposed conditional-pass Quatro (CPQ) FF and true single phase clock energy recovery (TSPCER) FF are based on a unique soft error robust latch, which we refer to as a Quatro latch. The proposed C2-DICE FF is based on a dual interlocked cell (DICE) latch. In addition to the storage cell, each FF consists of a unique input-stage and a two-transistor, two-input output buffer. In each FF with a sinusoidal clock, the transfer unit passes the data to the Quatro and DICE latches. The latches store the data values at two storage nodes and two redundant nodes, the latter enabling recovery from a particle-induced transient with or without multiple-node charge sharing. Post-layout simulations in 65nm CMOS technology show that the FF exhibits as much as 82% lower power-delay product compared to recently reported soft error robust FFs. We implemented 1024 proposed FFs distributed in an H-tree clock network driven by a resonant clock-generator that generates a 1–5 GHz sinusoidal clock signal. The simulation results show a power reduction of 93% on the clock tree and total power saving of up to 74% as compared to the same implementation using the conventional square-wave clocking scheme and FFs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14

Similar content being viewed by others

References

  1. Baumann R (2005) Soft errors in advanced computer systems. IEEE Des Test Comput 22(3):258–266

    Article  Google Scholar 

  2. Baumann RC (2005) Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans Device Mater Reliab 5(3):305–316

    Article  Google Scholar 

  3. Bezzam I, Mathiazhagan C, Raja T, Krishnan S (2015) An energy-recovering reconfigurable series resonant clocking scheme for wide frequency operation. IEEE Trans Circuits Syst 62(7):1766–1775

    Article  Google Scholar 

  4. Calin T, Nicolaidis M, Velazco R (1996) Upset hardened memory design for submicron CMOS technology. IEEE Trans Nucl Sci 43(6):2874–2878

    Article  Google Scholar 

  5. Chan SC, Restle PJ, Bucelot TJ, Liberty JS, Weitzel S, Keaty JM, Flachs B, Volant R, Kapusta P, Zimmerman JS (2009) A resonant global clock distribution for the cell broadband engine processor. IEEE J Solid State Circuits 44(1):64–72

    Article  Google Scholar 

  6. Chen RM, Diggins ZJ, Mahatme NN, Wang L, Zhang EX, Chen YP, Zhang H, Liu YN, Narasimham B, Witulski AF, Bhuva BL, Fleetwood DM (2017) Effects of temperature and supply voltage on SEU- and SET-induced errors in bulk 40-nm sequential circuits. IEEE Trans Nucl Sci 64(8):2122–2128

    Google Scholar 

  7. Devarapalli SV, Zarkesh-Ha P, Suddarth SC (2010) Seu-hardened dual data rate flip-flop using c-elements. In: Proceedings of international symposium on defect and fault tolerance in VLSI systems, pp 167–171

  8. Esmaeili SE, j Al-Khalili A, Cowan GER (2010) Dual-edge triggered sense amplifier flip-flop for resonant clock distribution networks. IET Comput Digit Tech 4(6):499–514

    Article  Google Scholar 

  9. Fuketa H, Nomura M, Takamiya M, Sakurai T (2014) Intermittent resonant clocking enabling power reduction at any clock frequency for near/sub-threshold logic circuits. IEEE J Solid State Circuits 49(2):536–544

    Article  Google Scholar 

  10. Glorieux M, Clerc S, Gasiot G, Autran JL, Roche P (2013) New d-flip-flop design in 65 nm CMOS for improved SEU and low power overhead at system level. IEEE Trans Nucl Sci 60(6):4381–4386

    Article  Google Scholar 

  11. Hifumi M, Maruoka H, Umehara S, Yamada K, Furuta J, Kobayashi K (2017) Influence of layout structures to soft errors caused by higher-energy particles on 28/65 nm FDSOI flip-flops. In: Proceedings of international reliability physics symposium, pp SE–5.1–SE–5.4

  12. Islam R (2011) High-speed energy-efficient soft error tolerant flip-flops. MASc Thesis, Concordia University, Montreal, Canada

  13. Islam R (2012) A highly reliable seu hardened latch and high performance SEU hardened flip-flop. In: Proceedings of international symposium on quality electronic design, pp 347–352

  14. Islam R, Esmaeili SE, Islam T (2011) A high performance clock precharge SEU hardened flip-flop, pp 574–577

  15. Jahinuzzaman SM, Islam R (2010) TSPC-DICE: a single phase clock high performance SEU hardened flip-flop. In: Proceedings of international midwest symposium on circuits and systems, pp 73–76

  16. Jahinuzzaman SM, Rennie DJ, Sachdev M (2009) A soft error tolerant 10T SRAM bit-cell with differential read capability. IEEE Trans Nucl Sci 56(6):3768–3773

    Article  Google Scholar 

  17. JEDEC (2006) JESD89A: measurement and reporting of alpha particle and terrestrial cosmic ray-induced. http://www.jedec.org

  18. Jiang H, Zhang H, Kauppila JS, Massengill LW, Bhuva BL (2018) An empirical model for predicting SE cross section for combinational logic circuits in advanced technologies. IEEE Trans Nucl Sci 65(1):304–310

    Article  Google Scholar 

  19. Krueger D, Francom E, Langsdorf J (2008) Circuit design for voltage scaling and SER immunity on a quad-core itanium processor. In: Proceedings of international solid-state circuits conference, pp 94–95

  20. Li YQ, Wang HB, Liu R, Chen L, Nofal I, Shi ST, He AL, Guo G, Baeg SH, Wen SJ, Wong R, Chen M, Wu Q (2017) A quatro-based 65-nm flip-flop circuit for soft-error resilience. IEEE Trans Nucl Sci 64(6):1554–1561

    Article  Google Scholar 

  21. Mahmoodi H, Tirumalashetty V, Cooke M, Roy K (2009) Ultra low-power clocking scheme using energy recovery and clock gating. IEEE Transactions on Very Large Scale Integration Systems 17(1):33–44

    Article  Google Scholar 

  22. Naseer R, Draper J (2006) DF-DICE: a scalable solution for soft error tolerant circuit design. In: Proceedings of international symposium on circuits and systems, pp 4

  23. Nsengiyumva P, Ball DR, Kauppila JS, Tam N, McCurdy M, Holman WT, Alles ML, Bhuva BL, Massengill LW (2016) A comparison of the SEU response of planar and finFET D flip-flops at advanced technology nodes. IEEE Trans Nucl Sci 63(1):266– 272

    Article  Google Scholar 

  24. Omana M, Rossi D, Metra C (2007) Latch susceptibility to transient faults and new hardening approach. IEEE Trans Comput 56(9):1255–1268

    Article  MathSciNet  Google Scholar 

  25. Rennie D, Li D, Sachdev M, Bhuva BL, Jagannathan S, Wen S, Wong R (2012) Performance, metastability, and soft-error robustness trade-offs for flip-flops in 40 nm CMOS. IEEE Trans Circuits Syst 59 (8):1626–1634

    Article  MathSciNet  Google Scholar 

  26. Rosenfeld J, Friedman EG (2007) Design methodology for global resonant H-tree clock distribution networks. IEEE Transactions on Very Large Scale Integration Systems 15(2):135–148

    Article  Google Scholar 

  27. Sathe VS, Arekapudi S, Ishii A, Ouyang C, Papaefthymiou MC, Naffziger S (2013) Resonant-clock design for a power-efficient, high-volume x86-64 microprocessor. IEEE J Solid State Circuits 48(1):140–149

    Article  Google Scholar 

  28. Shah JS, Sachdev M (2016) Radiation hardened pulsed-latches in 65-nm CMOS. In: Proceedings of Canadian conference on electrical and computer engineering, pp 1–4

  29. Sheshadri VB, Bhuva BL, Reed RA, Weller RA, Mendenhall MH, Schrimpf RD, Warren KM, Sierawski BD, Wen SJ, Wong R (2010) Effects of multi-node charge collection in flip-flop designs at advanced technology nodes. In: Proceedings of IEEE international reliability physics symposium, pp 1026–1030

  30. Tirumalashetty V, Mahmoodi H (2007) Clock gating and negative edge triggering for energy recovery clock. In: Proceedings of international symposium on circuits and systems, pp 1141–1144

  31. Wang W, Gong H (2004) Edge triggered pulse latch design with delayed latching edge for radiation hardened application. IEEE Trans Nucl Sci 51(6):3626–3630

    Article  Google Scholar 

  32. Wang HB, Kauppila JS, Lilja K, Bounasser M, Chen L, Newton M, Li YQ, Liu R, Bhuva BL, Wen SJ, Wong R, Fung R, Baeg S, Massengill LW (2017) Evaluation of SEU performance of 28-nm FDSOI flip-flop designs. IEEE Trans Nucl Sci 64(1):367– 373

    Article  Google Scholar 

  33. Weste NHE, Harris DM (2004) CMOS VLSI design: a circuits and systems perspective, 3rd edn. Pearson Addision-Wesley, Boston

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Riadul Islam.

Additional information

Responsible Editor: M. Sachdev

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Islam, R. Low-Power Resonant Clocking Using Soft Error Robust Energy Recovery Flip-Flops. J Electron Test 34, 471–485 (2018). https://doi.org/10.1007/s10836-018-5737-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-018-5737-6

Keywords

Navigation