Skip to main content
Log in

Analysing NBTI Impact on SRAMs with Resistive Defects

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Density’s increase in Static Random Access Memory (SRAM) has become an important concern for testing, since new types of defects, that may occur during the manufacturing process, are introduced. On the one hand, new manufacturing defects may lead to dynamic faults, which are considered one of the most important causes of test escape in deep-submicron technologies. On the other hand, the SRAM’s robustness is considered crucial, since it may affect the entire SoC. One of the most important phenomena to degrade SRAM reliability is Negative-Bias Temperature Instability (NBTI) causing the memory cells’ aging. In this context, the paper proposes to analyse the impact of NBTI on SRAM cells with resistive defects that eventually escape manufacturing test and, with aging, may generate faults over time. Finally, SPICE simulations adopting a commercial 65 nm CMOS technology library have been performed in order to estimate NBTI’s precise impact over time.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26
Fig. 27
Fig. 28

Similar content being viewed by others

References

  1. Agarwal M, Paul BC, Ming Z, Mitra S (2007) Circuit failure prediction and its application to transistor aging. Proc. 25th IEEE VLSI Test Symposium, pp 277–286

  2. Agarwal M, Balakrishnan V, Bhuyan A, Kim K, Paul BC (2008) Optimized circuit failure prediction for aging: practicaity and promise. Proc. IEEE Inteernational Test Conference, 2008 (ITC 2008), Santa Clara. https://doi.org/10.1109/TEST.2008.4700619

  3. Alam MA, Roy K, Augustine C (2008) Reliability- and process-variation aware design of integrated circuits — a broader perspective. Proc. IEEE International Reliability Physics Symposium (IRPS), Monterey. https://doi.org/10.1109/IRPS.2011.5784500

  4. Boning D, Nassif S (2000) Models of process variations in device and interconnect, design of high performance microprocessor circuits. IEEE Press, pp 98–115. https://doi.org/10.1109/9780470544365.ch6

  5. Borkar S (2005) Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25(6):10–16

    Article  Google Scholar 

  6. Bosio A, Dilillo L, Girard P, Pravossoudovitch S, Virazel A (2012) Advanced test methods for SRAMs, Proc. IEEE 30th VLSI Test Symposium (VTS), 2012, pp 300–301

  7. Calimera A, Macii E, Poncino M (2010) NBTI-aware clustered power gating. ACM Trans Des Autom Electron Syst 16(1):3

  8. Ceratti A, Copetti T, Bolzani Poehls L, Vargas F (2012) Investigating the use of an on-chip sensor to monitor NBTI effect in SRAM. Proc. IEEE 13th Latin-American Test Workshop (LATW), Washington, pp 1–6

  9. Fonseca RA, Dilillo L, Bosio A, Girard P, Pravossoudovitch S, Virazel A, Badereddine N (2010) Analysis of resistive-bridging defects in SRAM core-cells: a comparative study from 90nm down to 40nm technology nodes. Proc. IEEE 15th European test symposium (ETC), Praha, pp 132–137

  10. Kumar SV, Kim CH, Sapatnekar SS (2009) Adaptive techniques for overcoming performance degradation due to aging in digital circuits. Proc. Asia and South Pacific Design Automation Conference (ASP-DAC), Yokohama, pp 284–289

  11. Mahapatra S, Saha D, Varghese D, Kumar PB (2006) On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Trans Electron Devices 53(7):1583–1592

  12. Martins CV, Semão J, Vazquez JC, Champac V, Santos M, Teixeira IC, Teixeira JP (2011) Adaptive Error-Prediction Flip-flop for performance failure prediction with aging sensors. Proc. IEEE 29th VLSI Test Symposium, Dana Point, pp 203–208

  13. PHILIPS (1998) 74rHC/HCT181 4-Bit arithmetic logic unit. Datasheet. http://www.alldatasheet.com/view.jsp?Searchword=74hct

  14. Siddiqua T, Gurumurthi S, Stan MR (2011) Modeling and analyzing NBTI in the presence of process variation. Proc. 12th International Symposium on Quality Electronic Design (ISQED), Santa Clara, pp 1–8

  15. Tulio Martins M, Cardoso Medeiros G, Copetti T, Vargas F, Bolzani Poehls LM (2016) Analyzing NBTI impact on SRAMs with resistive-open defects. Proc. IEEE 17th Latin-American Test Symposium (LATS), Foz do Iguacu, pp 87–92. https://doi.org/10.1109/LATW.2016.7483345

  16. Vasquez JC, Champc V, Ziesemer AM Jr, Reis R, Semião J, Teixeira JP (2010) Predictive error detection by on-line aging monitoring. Proc. IEEE 16th International On-Line Testing Symposium (IOLTS), Corfu, pp 9-14. https://doi.org/10.1109/IOLTS.2010.5560241

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to L. M. Bolzani Poehls.

Additional information

Responsible Editor: K. K. Saluja

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Martins, M.T., Medeiros, G.C., Copetti, T. et al. Analysing NBTI Impact on SRAMs with Resistive Defects. J Electron Test 33, 637–655 (2017). https://doi.org/10.1007/s10836-017-5685-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-017-5685-6

Keywords

Navigation