Skip to main content
Log in

Low leakage 10T SRAM cell with improved data stability in deep sub-micron technologies

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

SRAMs are extensively used in system-on-chip designs. Embedded SRAMs occupy majority of the die area leading to increased power consumption. Although the performance of SRAM in finer technologies has remarkably improved, still cell stability and leakage power dissipation are major concerns at deep sub-micron regime. In this paper, a low leakage SRAM cell is proposed based on source-biased inverter. The source biased inverter uses two extra transistors to mitigate the leakage power without increasing the dynamic power. The proposed inverter gives leakage power reduction of \(66.1\%\) at 100 \(^{\circ }\)C for 32 nm. The inverter is also simulated in 22 nm and 16 nm. The performance metrics like delay and power delay product are calculated. The source biased inverter is then used to replace the conventional inverters in 6T SRAM cell in 32 nm. The proposed 10T SRAM cell gives leakage power reduction of \(86.24\%\) at 100 \(^{\circ }\)C and \(90.88\%\) at 25 \(^{\circ }\)C respectively as compared to conventional 6T SRAM cell. Also, read and write bias-based assist technique is applied to improve the stability. The proposed 10T low leakage SRAM shows better stability compared to the conventional 6T SRAM cell. The performance improvement comes at the cost of four transistors. All simulations are carried out using H-spice simulator by using predictive technology models.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Hoque, M. A., Siekkinen, M., & Nurminen, J. K. (2014). Energy efficient multimedia streaming to mobile devices—A survey. IEEE Communications Surveys and Tutorials, 16(1), 579–597.

  2. Benmoussa, Y., Boukhobza, J., Senn, E., & Benazzouz, D. (2013). Energy consumption modeling of H.264/AVC video decoding for GPP and DSP. In Proceedings of 16th Euromicro conference on digital system design (pp. 890–896).

  3. Carroll, A., & Heiser, G. (2010). An analysis of power consumption in a smartphone. In Proceedings of USENIX annual technical conference (pp. 1–14).

  4. Wang, J. S., Chang, P. Y., Tang, T. S., Chen, J. W., & Guo, J. I. (2011). Design of subthreshold SRAMs for energy-efficient quality-scalable video applications. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1(2), 183–192.

    Article  Google Scholar 

  5. Krishna, R., & Duraiswamy, P. (2018). Simulation study and performance comparison of various SRAM cells in 32 nm CMOS technology. Springer series. Springer.

  6. Mutoh, S., Douseki, T., Matsuya, Y., Aoki, T., Shigematsu, S., & Yamada, J. (1995). 1-V power supply high-speed digital circuit technology with multi-threshold-voltage CMOS. IEEE Journal of Solid-State Circuits, 30, 847–854.

    Article  Google Scholar 

  7. Mansore, S. R., & Gamad, R. S. (2019). Single-ended 10T SRAM cell with improved stability. Journal of VLSI Design and Signal Processing, 5(3), 19–25.

    Google Scholar 

  8. Kavitha, M., & Govindara, J. T. (2016). Low-power multimodal switch for leakage reduction and stability improvement in SRAM cell (pp. 2945–2955). Springer.

  9. Prasad, G., & Anand, A. (2014). Statistical analysis of low power SRAM cell structure. Springer analog integrated circuits signal processing (pp. 349–358). Springer.

  10. Sharma, N., Panwar, U., & Singh, V. (2016). A novel technique of leakage power reduction in 9T SRAM design in FinFET technology. In IEEE conference (pp. 737–743).

  11. Krishna, R., & Duraiswamy, P. (2020). A technique of designing low leakage SRAM in deep sub-micron technology. In 2020 IEEE international conference on electronics, computing and communication technologies (CONECCT), Bangalore, India (pp. 1–5).

  12. Subramanyam, J. B. V., & Basha, S. S. (2016). Design of low leakage power SRAM using multi-threshold technique. In 10th international conference on intelligent systems and control (ISCO), Coimbatore, 2016 (pp. 1–7).

  13. Sachan, D., Peta, H., Malik, K. S., & Goswami, M. (2016). Low power multithreshold 7T SRAM cell. In 2016 IEEE 59th international midwest symposium on circuits and systems (MWSCAS), Abu Dhabi (pp. 1–4).

  14. Venkatareddy, A., Sithara, R., Kumar, Y. B. N., & Vasantha, M. H. (2016). Characterization of a novel low leakage power and area efficient 7T SRAM cell. In: 2016 29th international conference on VLSI design and 2016 15th international conference on embedded systems (VLSID), Kolkata (pp. 202–206).

  15. Narendra, S., De, V., Antoniadis, D., Chandrakasan, A., & Borkar, S. (2001). Scaling of stack effect and its application for leakage reduction. In Proceedings ISLPED (pp. 195–200).

  16. Hanchate, N., & Ranganathan, N. (2004). LECTOR: a technique for leakage reduction in CMOS circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(2), 196–205.

    Article  Google Scholar 

  17. Geetha Priya, M., Baskaran, K., & Krishnaveni, D. (2011). Leakage power reduction techniques in deep submicron technologies for VLSI applications. In International conference on communication technology and system design.

  18. Nandyala, V. R., & Mahapatra, K. K. (2016). A circuit technique for leakage power reduction in CMOS VLSI circuits. In IEEE conference.

  19. Wang D. P., et al. (2007). A 45nm dual-port SRAM with write and read capability enhancement at low voltage. In Proceedings of IEEE international SoC conference (pp. 211–214).

  20. Suneja, D., Chaturvedi, N., & Gurunarayanan, S. (2017). A comparative analysis of read/write assist techniques on performance and margin in 6T SRAM cell design. In 2017 International conference on computer, communications and electronics (Comptelix), Jaipur (pp. 659–664). https://doi.org/10.1109/COMPTELIX.2017.8004051.

  21. Nii, K., et al. (2008). A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations. IEEE Journal of Solid-State Circuits, 43(1), 180–191.

    Article  Google Scholar 

  22. Hirabayashi, O., et al. (2009). A process-variation-tolerant dual-power-supply SRAM with 0.179\(\mu \)m2 Cell in 40nm CMOS using level-programmable wordline driver. In IEEE international solid-state circuits conference (ISSCC) digest of technical papers (pp. 458–459).

  23. Suzuki, T., Yamauchi, H., Yamagami, Y., Satomi, K., & Akamatsu, H. (2008). A stable 2-port SRAM cell design against simultaneously read/write disturbed accesses. IEEE Journal of Solid-State Circuits, 43(9), 2109–2119.

    Article  Google Scholar 

  24. Tachibana, F., et al. (2014). A 27\(\%\) Active and 85\(\%\) standby power reduction in dual-power-supply SRAM using BL power calculator and digitally controllable retention circuit. IEEE Journal of Solid-State Circuits, 49(1), 118–126.

    Article  Google Scholar 

  25. Shibata, N., Kiya, H., Kurita, S., Okamoto, H., Tan’no, M., & Douseki, T. (2006). A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment-Sure write operation by using step-down negatively overdriven bitline scheme. IEEE Journal of Solid-State Circuits, 41(3), 728–742.

    Article  Google Scholar 

  26. Wang, D. P., et al. (2007). A 45nm dual-port SRAM with write and read capability enhancement at low voltage. In Proceedings of IEEE international SoC conference (pp. 211–214).

  27. Ensan, S. S., Moaiyeri, M. H., Ebrahimi, B., et al. (2019). A low-leakage and high-writable SRAM cell with back-gate biasing in FinFET technology. Journal of Computational Electronics, 18, 519–526.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Punithavathi Duraiswamy.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Krishna, R., Duraiswamy, P. Low leakage 10T SRAM cell with improved data stability in deep sub-micron technologies. Analog Integr Circ Sig Process 109, 153–163 (2021). https://doi.org/10.1007/s10470-021-01870-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-021-01870-7

Keywords

Navigation