Skip to main content
Log in

Low Power Magnetic Non-volatile Flip-Flops with Self-Time Logical Writing for High-End Processors

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Presently, leakage in a complementary metal oxide semiconductor (CMOS) increases due to high static power dissipation during reading and writing operations. Spin transfer torque magnetic random access memory is seen as the most reassuring non-volatile memory structure to overcome the current problem of static power dissipation. In conventional techniques, magnetic flip-flop (MFF) consumes large power and static current dissipation due to conditional-based single circuitry, which can be optimized through introduction of MFF with the combination of low swing conditional capture edge-trigged flip-flop, self-time logical writing circuit, and coarse-grain-based power gating circuit. This method has been developed particularly for high-precision, high-speed, mixed-mode application-specific integrated circuits; the reduction in total power consumption, static current, and PDP shows the proposed technique that as highly suitable for low power applications in high-end processors, using nanoscale technology. In this research work, results have been validated by simulations and measurements using 180 nm CMOS technology, tested using 1.8 v supply voltage.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5

Similar content being viewed by others

References

  1. K. Absel, L. Manuel, R.K. Kavitha, Low-power dual dynamic node pulsed hybrid flip-flop featuring efficient embedded logic. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 21(9), 1693–1704 (2013)

    Article  Google Scholar 

  2. M. Alioto, E. Consoli, G. Palumbo, Analysis and comparison in the energy-delay-area domain of nanometer CMOS flip-flops: Part II—Results and figures of merit. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(5), 737–750 (2011)

    Article  Google Scholar 

  3. M. Alioto, E. Consoli, G. Palumbo, General strategies to design nanometer flip-flops in the energy-delay space. IEEE Trans. Circuits Syst. I Regul. Pap. 57(7), 1583–1596 (2010)

    Article  MathSciNet  Google Scholar 

  4. K. Ando et al., Roles of non-volatile devices in future computer system: normally-off computer. IGI Global, pp. 83–107 (2012)

  5. I.G Baek et al., Multi-layer cross-point binary oxide resistive memory (OxRRAM) for post-NAND storage application. IEDM Tech. Dig., pp. 750–753 (2005)

  6. S. Baskar, V.R. Dhulipala, Biomedical rehabilitation: data error detection and correction using two dimensional linear feedback shift register based cyclic redundancy check. J. Med. Imaging Health Inform. 8(4), 805–808 (2018)

    Article  Google Scholar 

  7. S. Baskar, V.R. Dhulipala, Implementation of elliptical curve point multiplication over Galois field (GF (p)) in 45 nanometer technology. Sensor Lett. 16(8), 632–641 (2018)

    Article  Google Scholar 

  8. D. Chabi, W. Zhao, E. Deng, Y. Zhang, N.B. Romdhane, J.O. Klein, C. Chappert, Ultra low power magnetic flip-flop based on checkpointing/power gating and self-enable mechanisms. IEEE Trans. Circuits Syst. I Regul. Pap. 61(6), 1755–1765 (2014)

    Article  Google Scholar 

  9. C. Chappert, A. Fert, F.N. Van Dau, The emergence of spin electronics in data storage. Nat. Mater. 6(11), 813–823 (2007)

    Article  Google Scholar 

  10. E. Consoli, G. Palumbo, M. Pennisi, Reconsidering high-speed design criteria for transmission-gate-based master-slave flip-flops. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(2), 284–295 (2012)

    Article  Google Scholar 

  11. X. Fan, Y. Wu, H. Dong, J. Hu, A low leakage autonomous data retention flip-flop with power gating technique. J. Electr. Comput. Eng. pp. 1–11 (2014)

    Article  Google Scholar 

  12. H.C. Hadimani, et al., Optimized mathematical model for cell receivers running in spatially problematic multi path channels for wireless systems in smart antennas. In Emerging Trends in Engineering, Technology and Science (ICETETS), International Conference on. IEEE (2016)

  13. R. Islam, M.R. Guthaus, Low-power clock distribution using a current-pulsed clocked flip-flop. IEEE Trans. Circuits Systems.—I: Regul. Pap. 62(4), 1156–1164 (2015)

    Article  MathSciNet  Google Scholar 

  14. I. Kazi, P. Meinerzhagen, P.E. Gaillardon, D. Sacchetto, Y. Leblebici, A. Burg, G.D. Micheli, Energy/reliability trade-offs in low-voltage ReRAM-based non-volatile flip-flop design. IEEE Trans. Circuits Syst. 61(11), 3155–3164 (2014)

    Article  Google Scholar 

  15. E. Kitagawa et al., Impact of ultra low power and fast write operation of advanced perpendicular MTJ, in Proceedings of 12th Joint MMM/Intermag, (2013)

  16. M. Marufuzzaman, Z.H. Jalil, M.B.I. Reaz, L.F. Rahman, Design perspective of low power, high efficiency shift registers. J. Theor. Appl. Inf. Technol. 79(2), 310–321 (2015)

    Google Scholar 

  17. J.H. Park, H. Kang, D.H. Jung, K. Ryu, S.O. Jung, Level-converting retention flip-flop for reducing stand by. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(3), 413–421 (2015)

    Article  Google Scholar 

  18. M.W. Phyu, K. Fu, W.L. Goh, K.-S. Yeo, Power-efficient explicit-pulsed dual-edge triggered sense-amplifier flip-flops. IEEE Trans. Very Large Scale Integr. VLSI Syst. 19(1), 1–9 (2011)

    Article  Google Scholar 

  19. P.M. Shakeel, S. Baskar, V.R. Dhulipala, S. Mishra, M.M. Jaber, Maintaining security and privacy in health care system using learning based deep-Q-networks. J. Med. Syst. 42(10), 186 (2018)

    Article  Google Scholar 

  20. A.D Smith et al., Latest advances and roadmap for in-plane and perpendicular STT-RAM, in Proceedings of 3rd IEEE Int. Memory Workshop (IMW), pp. 1–3 (2011)

  21. A. Sudheer, A. Ravindran, Design and implementation of embedded logic flip-flop for low power applications. Procedia Comput. Sci. 46, 1393–1400 (2015)

    Article  Google Scholar 

  22. H.P. Trinh, W.S. Zhao, J.-O. Klein, Y. Zhang, D. Ravelosona, C. Chappert, Magnetic adder based on racetrack memory. IEEE Trans. Circuits Syst. I Reg. Papers 60, 1469–1477 (2013)

    Article  Google Scholar 

  23. P. Zhao, J. McNeely, W. Kuang, N. Wang, Z. Wang, Design of sequential elements for low power clocking system. IEEE Trans. Very Large Scale Integr. VLSI Syst. 19(5), 914–918 (2011)

    Article  Google Scholar 

  24. W.S. Zhao et al., Failure and reliability analysis of STT-MRAM. Microelectron. Reliab. 52, 1848–1852 (2012)

    Article  Google Scholar 

  25. W.S Zhao, M. Moreau, E. Deng, Y. Zhang, J.M. Portal, J.-O. Klein, M. Bocquet, H. Aziza, D. Deleruyelle, C. Muller, D. Querlioz, N. Ben Romdhane, C. Chappert, D. Ravelosona, Synchronous non-volatile logic gate design based on resistive switching memories. IEEE Trans. Circuits Syst. I Reg. Papers, https://doi.org/10.1109/tcsi.2013.2278332, accepted for publication (2017)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. Udhayakumar.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Udhayakumar, A., Padma, S. Low Power Magnetic Non-volatile Flip-Flops with Self-Time Logical Writing for High-End Processors. Circuits Syst Signal Process 38, 4921–4932 (2019). https://doi.org/10.1007/s00034-019-01108-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-019-01108-y

Keywords

Navigation