Skip to main content

Process Simulation

  • Chapter
  • First Online:
Springer Handbook of Semiconductor Devices

Abstract

In this chapter an outline of the very diverse topic of process simulation is given. This includes the process steps of ion implantation and thermal annealing, which introduce, activate, and modify dopant distributions, and the process steps lithography, deposition, and etching, which are used to structure the semiconductor wafer. The section on oxidation deals with the simulation of the oxide growth, whereas the aspect of dopant segregation is included in the section on diffusion. Finally, the impact of process variations is outlined. Overall, the process simulation chapter primarily deals with the physics and the related models for the various process steps, whereas the discussion of generic algorithms, e.g., for the solution of partial differential equations, is left for another dedicated chapter of this book. However, some algorithms which are specific for process simulation are also briefly described in this chapter.

Due to the diversity of the area of process simulation, this chapter could not strive for completeness in the presentation of the physical models. We largely refer to silicon technology, whereas most models can also be applied for or adapted to other top-down semiconductor technologies where, in contrast to bottom-up technologies based on self-assembling, patterning steps, ion implantation and high-temperature process steps are used to generate three-dimensional geometries and dopant distributions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 309.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 399.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. 2011 International Technology Roadmap for Semiconductors, Modeling and Simulation, Table MS3, http://www.itrs2.net/2011-itrs.html

  2. 2013 International Technology Roadmap for Semiconductors, Lithography, https://www.semiconductors.org/resources/2013-international-technology-roadmap-for-semiconductors-itrs/

  3. Asenov, A.: Simulation of statistical variability in nano MOSFETs. In: Proceedings IEEE Symposium VLSI Technology, June 12–14, 2007, pp. 86–87

    Google Scholar 

  4. Selberherr, S.: Analysis and Simulation of Semiconductor Devices. Springer, Wien, New York (1984)

    Book  Google Scholar 

  5. Pichler, P.: Intrinsic Point Defects, Impurities, and their Diffusion in Silicon. Springer, Wien-New York (2004)

    Book  Google Scholar 

  6. D.A. Antoniadis, S.E. Hansen, R.W. Dutton, A.G. Gonzalez: SUPREM 1 – A program for IC processing and Simulation, Stanford University Technical report No. 5019-1, Stanford (1977)

    Google Scholar 

  7. Antoniadis, D.A., Dutton, R.W.: Models for computer simulation of complete IC fabrication process. Trans. Electron Devices. ED-26(4), 490–500 (1979)

    Article  Google Scholar 

  8. Ryssel, H., Haberger, K., Hoffmann, K., Prinke, G., Dümcke, R., Sachs, A.: Simulation of doping processes. IEEE Trans. Electron Devices. ED-27(8), 1484–1492 (1980)

    Article  Google Scholar 

  9. M.E Law, C.S. Rafferty, R.W. Dutton, New N-well fabrication techniques based on 2D process simulation, Proc. IEDM 1986, 518-521 (1986)

    Google Scholar 

  10. Lorenz, J., Pelka, J., Ryssel, H., Sachs, A., Seidl, A., Svoboda, M.: COMPOSITE – a complete modeling program of silicon technology. IEEE Trans. Electron Devices. 32(10) (1977-1986)

    Google Scholar 

  11. Sentaurus Process, https://www.synopsys.com

  12. Victory Process, https://www.silvaco.com

  13. Bottinger, J., Davies, J.A., Siegmund, P., Winterbon, K.B.: On reflection coefficient of keV heavy-ions beams from solid targets. Rad. Effects. 11(2), 69 (1971)

    Article  Google Scholar 

  14. Lorenz, J., Krüger, W., Barthel, A.: Simulation of the lateral spread of implanted ions: theory. In: Miller, J.J.H. (ed.) Proceedings Sixth International NASECODE Conference, pp. 513–520. Boole Press, Dublin (1989)

    Google Scholar 

  15. Hofker, W.K.: Concentration profiles of boron implantations in amorphous and polycrystalline silicon. Philips Res. Rep. 8, 41 (1975)

    Google Scholar 

  16. Ryssel, H., Ruge, I.: Ion Implantation. Wiley, Chichester/New York/Brisbane/Toronto/Singapore (1986)

    Google Scholar 

  17. Tasch, A.F., Shin, H., Park, C.: An improved approach to accurately model Shallow B and BF2 implants in silicon. J. Electrochem. Soc. 136(3), 810 (1989)

    Article  Google Scholar 

  18. Ishiwara, H., Furakawa, S., Yamada, J., Kawamura, M.: S. Namba, Ion Implantation in Semiconductors, p. 423. Plenum Press, New York (1975)

    Book  Google Scholar 

  19. Ryssel, H., Krüger, W., Lorenz, J.: Comparison of Monte-Carlo simulations and analytical models for the calculation of ion implantation profiles in multilayer targets. Nucl. Instrum. Methods Phy. Res. B19(20), 40–44 (1987)

    Article  Google Scholar 

  20. Wiezbicki, R.J., Lorenz, J., Barthel, A.: Simulation of ion implantation into multilayer structures. In: Heuberger, A., Ryssel, H., Lange, P. (eds.) Proceedings ESSDERC 1989, pp. 193–197. Springer, Berlin, Heidelberg (1989)

    Google Scholar 

  21. Webb, R.P., Maydell, E.: Comparison of fast algorithms for calculation of range profiles in layered structures. Nucl. Instr. Methods. B33, 117–121 (1988)

    Article  Google Scholar 

  22. Wierzbicki, R.J.: Analytische Beschreibung der Implantation von Ionen in Ein- und Mehrschichtstrukturen. Shaker Verlag, Aachen (1994)

    Google Scholar 

  23. Wiezbicki, R.J., Biersack, J.P., Barthel, A., Lorenz, J., Ryssel, H.: Reflection approach for the analytical description of light ion implantation into bilayer structure. Radiat. Eff. Solids. 130–131, 495–506 (1994)

    Article  Google Scholar 

  24. Furukawa, S., Matsumura, M., Ishiwara, H.: Theoretical considerations on lateral spread of implanted ions. Jap. J. Appl. Phys. 11(2), 134 (1972)

    Article  Google Scholar 

  25. Ryssel, H., Gong, L., Lorenz, J.: Improvements in simulation of implantation profiles. In: Proceedings 1989 International Symposium on VLSI Technology, Systems and Applications, pp. 102–105, Taipeh, 17–19 May 1989

    Google Scholar 

  26. Lorenz, J., Barthel, A., Gong, L., Ryssel, H., Wierzbicki, R.J.: Analytical description of ion implantation profiles. In: Barraclough, K., Chikawa, J., Huff, H. (eds.) Proceedings 6th International Symposium on Silicon Materials Science and Technology, pp. 538–549. Electrochemical Society, Pennington (1989)

    Google Scholar 

  27. Lorenz, J., Wiezbicki, R.J., Ryssel, H.: Analytical modeling of lateral implantation profiles. Nucl. Inst. Methods Phys. Res. B. 96, 168–172 (1995)

    Article  Google Scholar 

  28. Cole, P.D., Crean, G.M., Lorenz, J., Dupas, L.: Comparison of models for the calculation of ion implantation moments of implanted boron, phosporus and arsenic dopants in thin film silicides. Nucl. Inst. Methods Phys. Res. B. 55, 763–768 (1991)

    Article  Google Scholar 

  29. Biersack, J.P., Haggmark, L.G.: A Monte-Carlo computer program for the transport of energetic ions in amorphous targets. Nucl. Instrum. Methods Phy. Res. 174, 257–269 (1980)

    Article  Google Scholar 

  30. Hobler, G., Selberherr, S.: Two-dimensional modeling of ion implantation induced point defects. IEEE Trans. Comput.-Aid Design. 7(3), 174–180 (1988)

    Article  Google Scholar 

  31. Ziegler, J.: Ion implantation physics. In: Ziegler, J.F. (ed.) Ion Implantation Science and Technology, pp. 175–238. Ion Implantation Technology Co., Edgewater (2000)

    Google Scholar 

  32. Robinson, M.T., Torrens, I.M.: Computer simulation of atomic-displacement cascades in solids in the binary-collision approximation. Phys. Rev. B9(12), 5008–5023 (1974)

    Article  Google Scholar 

  33. Norgett, M.J., Robinson, M.T., Torrens, I.M.: A proposed method of calculating displacement dose rates. Nucl. Eng. Des. 33, 50–54 (1975)

    Article  Google Scholar 

  34. Pichler, P., Sledziewski, T., Häublein, V., Bauer, A.J., Erlbacher, T.: Channeling in 4H-SiC from an application point of view. Mater. Sci. Forum. 963, 386–389 (2019)

    Article  Google Scholar 

  35. Burenkov, A., Tietzel, K., Hössinger, A., Lorenz, J., Ryssel, H., Selberherr, S.: A computationally efficient method for three-dimensional simulation of ion implantation. IEICE Trans. Electron. E83-C(8), 1259–1265 (2000)

    Google Scholar 

  36. Bohmayr, W., Burenkov, A., Lorenz, J., Ryssel, H., Selberherr, S.: Trajectory split method for Monte Carlo simulation of ion implantation. IEEE Trans. Semicond. Manuf. 8(4), 402–407 (1995)

    Article  Google Scholar 

  37. Tian, X.B., Kwok, D.T.W., Chun, P.K.: Modeling of incident particle energy distribution in plasma immersion ion implantation. J. Appl. Phys. 88(9), 4961–4966 (2000)

    Article  Google Scholar 

  38. Burenkov, A., Hahn, A., Spiegel, Y., Etienne, H., Torregrosa, F.: Simulation of BF3 plasma immersion ion implantation into silicon. In: Pelaz, L., Duffy, R., Torregrosa, F., Bourdelle, K. (eds.) Ion Implantation Technology 2012, pp. 233–236. AIP Conference Proceedings, Melville (2012)

    Google Scholar 

  39. Fahey, P.M., Griffin, P.B., Plummer, J.D.: Point defects and dopant diffusion in silicon. Rev. Mod. Phys. 61(2), 289–384 (1989)

    Article  Google Scholar 

  40. Pelaz, L., Marqués, L.A., Aboy, M., López, P., Santos, I.: Front-end process modeling in silicon. Eur. Phys. J. B. 72(3), 323–359 (2009)

    Article  Google Scholar 

  41. Bracht, H.: Self-and dopant diffusion in silicon, germanium, and their alloys. In: Kissinger, I.G., Pizzini, S. (eds.) Silicon, Germanium, and Their Alloys: Growth, Defects, Impurities, and Nanocrystals, pp. 159–206. CRC Press (2014)

    Google Scholar 

  42. Voronkov, V., Falster, R.: Multiple structural forms of a vacancy in silicon as evidenced by vacancy profiles, produced by rapid thermal annealing. Phys. Status Solidi B. 251(11), 2179–2184 (2014)

    Article  Google Scholar 

  43. Mikkelsen Jr., J.C.: The diffusivity and solubility of oxygen in silicon. Mat. Res. Soc. Symp. Proc. 59, 19–30 (1986)

    Article  Google Scholar 

  44. Windl, W., Bunea, M.M., Stumpf, R., Dunham, S.T., Masquelier, M.P.: First-principles study of boron diffusion in silicon. Phys. Rev. Lett. 83(21), 4345 (1999)

    Article  Google Scholar 

  45. De Salvador, D., Napolitani, E., Mirabella, S., Bisognin, G., Impellizzeri, G., Carnera, A., Priolo, F.: Atomistic mechanism of boron diffusion in silicon. Phys. Rev. Lett. 97, 255902 (2006)

    Article  Google Scholar 

  46. Yoshida, M., Arai, E., Nakamura, H., Terunuma, Y.: Excess vacancy generation mechanisms at phosphorus diffusion into silicon. J. Appl. Phys. 45(4), 1498–1506 (1974)

    Article  Google Scholar 

  47. Seeger, A., Chik, K.P.: Diffusion mechanism and point defects in silicon and germanium. Phys. Status Solidi. 29, 455–542 (1968)

    Article  Google Scholar 

  48. Gösele, U., Frank, W., Seeger, A.: Mechanism and kinetics of the diffusion of gold in silicon. Appl. Phys. 23, 361–368 (1980)

    Article  Google Scholar 

  49. Frank, F.C., Turnbull, D.: Mechanism of diffusion of copper in germanium. Phys. Rev. 104(3), 617–618 (1956)

    Article  Google Scholar 

  50. Waite, T.R.: Theoretical treatment of the kinetics of diffusion-limited reactions. Phys. Rev. 107(2), 463–470 (1957)

    Article  Google Scholar 

  51. Debye, P.: Reaction rates in ionic solutions. Trans. Electrochem. Soc. 82, 265–272 (1942)

    Article  Google Scholar 

  52. Waite, T.R.: General theory of bimolecular reaction rates in solids and liquids. J. Chem. Phys. 28(1), 103–106 (1958)

    Article  Google Scholar 

  53. Cowern, N.E.B., Janssen, K.T.F., van de Walle, G.F.A., Gravesteijn, D.J.: Impurity diffusion via an intermediate species: the B-Si system. Phys. Rev. Lett. 65(19), 2434–2437 (1990)

    Article  Google Scholar 

  54. Cowern, N.E.B.: General model for intrinsic dopant diffusion in silicon under nonequilibrium point-defect conditions. J. Appl. Phys. 64(9), 4484–4490 (1988)

    Article  Google Scholar 

  55. Uppal, S., Willoughby, A.F.W., Bonar, J.M., Cowern, N.E.B., Grasby, T., Morris, R.J.H., Dowsett, M.G.: Diffusion of boron in germanium at 800–900°C. J. Appl. Phys. 96(3), 1376–1380 (2004)

    Article  Google Scholar 

  56. Orr Arienzo, W.A., Glang, R., Lever, R.F., Lewis, R.K., Morehead, F.F.: Boron diffusion in silicon at high concentrations. J. Appl. Phys. 63(1), 116–120 (1988)

    Article  Google Scholar 

  57. Smits, F.M.: Formation of junction structures by solid-state diffusion. Proc. IRE. 46, 1049–1061 (1958)

    Article  Google Scholar 

  58. Fair, R.B., Tsai, J.C.C.: A quantitative model for the diffusion of phosphorus in silicon and the emitter dip effect. J. Electrochem. Soc. 124(7), 1107–1117 (1977)

    Article  Google Scholar 

  59. Nylandsted Larsen, A., Kyllesbech Larsen, K., Andersen, P.E., Svensson, B.G.: Heavy doping effects in the diffusion of group IV and V impurities in silicon. J. Appl. Phys. 73(2), 691–698 (1993)

    Article  Google Scholar 

  60. Mathiot, D., Pfister, J.C.: Diffusion of arsenic in silicon: validity of the percolation model. Appl. Phys. Lett. 42(12), 1043–1044 (1983)

    Article  Google Scholar 

  61. Ramamoorthy, M., Pantelides, S.T.: Complex dynamical phenomena in heavily arsenic doped silicon. Phys. Rev. Lett. 76(25), 4753–4756 (1996)

    Article  Google Scholar 

  62. Wills, G.N.: The orientation dependent diffusion of boron in silicon under oxidizing conditions. Solid State Electron. 12, 133–134 (1969)

    Article  Google Scholar 

  63. Antoniadis, D.A., Gonzales, A.G., Dutton, R.W.: Boron in near-intrinsic <100> and <111> silicon under inert and oxidizing ambients – diffusion and segregation. J. Electrochem. Soc. 125(5), 813–819 (1978)

    Article  Google Scholar 

  64. Masetti, G., Solmi, S., Soncini, G.: On phosphorus diffusion in silicon under oxidizing atmospheres. Solid State Electron. 16, 1419–1421 (1973)

    Article  Google Scholar 

  65. Mizuo, S., Higuchi, H.: Retardation of Sb diffusion in Si during thermal oxidation. Jpn. J. Appl. Phys. 20(4), 739–744 (1981)

    Article  Google Scholar 

  66. Dobson, P.S.: The effect of oxidation on anomalous diffusion in silicon. Philos. Mag. 24, 567–576 (1971)

    Article  Google Scholar 

  67. Hu, S.M.: Formation of stacking faults and enhanced diffusion in the oxidation of silicon. J. Appl. Phys. 45(4), 1567–1573 (1974)

    Article  Google Scholar 

  68. Taniguchi, K., Shibata, Y., Hamaguchi, C.: Theoretical model for self-interstitial generation at the Si/SiO2 interface during thermal oxidation of silicon. J. Appl. Phys. 65(7), 2723–2727 (1989)

    Article  Google Scholar 

  69. Mizuo, S., Kusaka, T., Shintani, A., Nanba, M., Higuchi, H.: Effect of Si and SiO2 thermal nitridation on impurity diffusion and oxidation induced stacking fault size in Si. J. Appl. Phys. 54(7), 3860–3866 (1983)

    Article  Google Scholar 

  70. Ahn, S.T., Kennel, H.W., Plummer, J.D., Tiller, W.A.: Film stress-related vacancy supersaturation in silicon under low-pressure chemical vapor deposited silicon nitride films. J. Appl. Phys. 64(10), 4914–4919 (1988)

    Article  Google Scholar 

  71. Cowern, N.E.B.: Diffusion in a single crystal within a stressed environment. Phys. Rev. Lett. 99, 155903 (2007)

    Article  Google Scholar 

  72. Sentaurus Process of Synopsys, Inc., with Advanced Calibration. Version P-2019.03, 2019

    Google Scholar 

  73. Aboy, M., Santos, I., Pelaz, L., Marqués, L.A., López, P.: Modeling of defects, dopant diffusion and clustering in silicon. J. Comput. Electron. 13, 40–58 (2014)

    Article  Google Scholar 

  74. Napolitani, E., Impellizzeri, G.: Ion implantation defects and shallow junctions in Si and Ge. In: Romano, L., Privitera, V., Jagadish, C. (eds.) Defects in Semiconductors, pp. 93–122. Academic Press (2015)

    Chapter  Google Scholar 

  75. Kim, Y., Massoud, H.Z., Gösele, U.M., Fair, R.B.: Physical modeling of the time constant of transient enhancement in the diffusion of ion-implanted dopants in silicon. Electrochem. Soc. Proc. 91-4, 254–272 (1991)

    Google Scholar 

  76. Eaglesham, D.J., Stolk, P.A., Gossmann, H.-J., Poate, J.M.: Implantation and transient B diffusion in Si: the source of the interstitials. Appl. Phys. Lett. 65(18), 2305–2307 (1994)

    Article  Google Scholar 

  77. Bonafos, C., Omri, M., de Mauduit, B., BenAssayag, G., Claverie, A., Alquier, D., Martinez, A., Mathiot, D.: Transient enhanced diffusion of boron in presence of end-of-range defects. J. Appl. Phys. 82(6), 2855–2861 (1997)

    Article  Google Scholar 

  78. Cowern, N.E.B., Mannino, G., Stolk, P.A., Roozeboom, F., Huizing, H.G.A., van Berkum, J.G.M., Cristiano, F., Claverie, A., Jaraíz, M.: Energetics of self-interstitial clusters in Si. Phys. Rev. Lett. 82(22), 4460–4463 (1999)

    Article  Google Scholar 

  79. Claverie, A., Colobeau, B., De Mauduit, B., Bonafos, C., Hebras, X., Assayag, G.B., Cristiano, F.: Extended defects in shallow implants. Appl. Phys. A Mater. Sci. Process. 76, 1025–1033 (2003)

    Article  Google Scholar 

  80. Zechner, C., Zographos, N., Matveev, D., Erlebach, A.: Accurate and efficient TCAD model for the formation and dissolution of small interstitial clusters and {311} defects in silicon. Mater. Sci. Eng. B. 401-403, 124–125 (2005)

    Google Scholar 

  81. Zographos, N., Zechner, C., Avci, I.: Efficient TCAD model for the evolution of interstitial clusters, {311} defects, and dislocation loops in silicon. Mat. Res. Soc. Symp. Proc. 994, 0994-F10-01 (2007)

    Article  Google Scholar 

  82. Wolf, F.A., Martinez-Limia, A., Stichtenoth, D., Pichler, P.: Modeling the annealing of dislocation loops in implanted c-Si solar cells. IEEE J. Photovoltaics. 4(3), 851–858 (2014)

    Article  Google Scholar 

  83. Giles, M.: Transient phosphorus diffusion below the amorphization threshold. J. Electrochem. Soc. 139(4), 1160–1165 (1991)

    Article  Google Scholar 

  84. Pelaz, L., Gilmer, G.H., Jaraiz, M., Herner, S.B., Gossmann, H.-J., Eaglesham, D.J., Hobler, G., Rafferty, C.S., Barbolla, J.: Modeling of the ion mass effect on transient enhanced diffusion: deviation from the “+1” model. Appl. Phys. Lett. 73(10), 1421–1423 (1998)

    Article  Google Scholar 

  85. Hobler, G., Moroz, V.: Initial conditions for transient enhanced diffusion: beyond the plus-factor approach. In: Tsoukalas, D., Tsamis, C. (eds.) Simulation of Semiconductor Processes and Devices 2001, pp. 34–37. Springer-Verlag (2001)

    Chapter  Google Scholar 

  86. Schwenker, R.O., Pan, E.S., Lever, R.F.: Arsenic clustering in silicon. J. Appl. Phys. 42(8), 3195–3200 (1971)

    Article  Google Scholar 

  87. Hu, S.M.: Diffusion in silicon and germanium. In: Shaw, D. (ed.) Atomic Diffusion in Semiconductors, pp. 217–350. Plenum Press (1973)

    Chapter  Google Scholar 

  88. Pelaz, L., Gilmer, G.H., Gossmann, H.-J., Rafferty, C.S., Jaraiz, M., Barbolla, J.: B cluster formation and dissolution in Si: a scenario based on atomistic modeling. Appl. Phys. Lett. 74(24), 3657–3659 (1999)

    Article  Google Scholar 

  89. Harrison, S.A., Edgar, T.F., Hwang, G.S.: Interstitial-mediated arsenic clustering in ultrashallow junction formation. Electrochem. Solid-State Lett. 9(12), G354–G357 (2006)

    Article  Google Scholar 

  90. Massalski, T.B., Okamoto, H., Subramanian, P.R., Kacprzak, L. (eds.): Binary Alloy Phase Diagrams, 2nd edn. ASM International, Materials Park (1990)

    Google Scholar 

  91. Nobili, D., Solmi, S., Parisini, A., Derdour, M., Armigliato, A., Moro, L.: Precipitation, aggregation, and diffusion in heavily arsenic-doped silicon. Phys. Rev. B. 49(4), 2477–2483 (1994)

    Article  Google Scholar 

  92. Bourret, A., Schröter, W.: HREM of SiP precipitates at the (111) silicon surface during phosphorus predeposition. Ultramicroscopy. 14, 97–106 (1984)

    Article  Google Scholar 

  93. Dabrowski, J., Müssig, H.-J., Zavodinsky, V., Baierle, R., Caldas, M.J.: Mechanism of dopant segregation to SiO2/Si(001) interfaces. Phys. Rev. B. 65, 245305 (2002)

    Article  Google Scholar 

  94. Steen, C., Martinez-Limia, A., Pichler, P., Ryssel, H., Paul, S., Lerch, W., Pei, L., Duscher, G., Severac, F., Cristiano, F., Windl, W.: Distribution and segregation of arsenic at the SiO2/Si interface. J. Appl. Phys. 104, 023518 (2008)

    Article  Google Scholar 

  95. Pei, L., Duscher, G., Steen, C., Pichler, P., Ryssel, H., Napolitani, E., De Salvador, D., Piro, A.M., Terrasi, A., Severac, F., Cristiano, F., Ravichandran, K., Gupta, N., Windl, W.: Detailed arsenic concentration profiles at Si/SiO2 interfaces. J. Appl. Phys. 104, 043507 (2008)

    Article  Google Scholar 

  96. Duffy, R., Venezia, V.C., Heringa, A., Hüsken, T.W.T., Hopstaken, M.J.P., Cowern, N.E.B., Griffin, P.B., Wang, C.C.: Boron uphill diffusion during ultrashallow junction formation. Appl. Phys. Lett. 82(21), 3647–3649 (2003)

    Article  Google Scholar 

  97. Lau, F., Mader, L., Mazure, C., Werner, C., Orlowski, M.: A model for phosphorus segregation at the silicon-silicon dioxide interface. Appl. Phys. A Mater. Sci. Process. 49, 671–675 (1989)

    Article  Google Scholar 

  98. Orlowski, M.: New model for dopant redistribution at interfaces. Appl. Phys. Lett. 55(17), 1762–1764 (1989)

    Article  Google Scholar 

  99. Jaraiz, M., Pelaz, L., Rubio, E., Barbolla, J., Gilmer, G.H., Eaglesham, D.J., Gossmann, H.J., Poate, J.M.: Atomistic modeling of point and extended defects in crystalline materials. Mat. Res. Soc. Symp. Proc. 532, 43–53 (1998)

    Article  Google Scholar 

  100. Martin-Bragado, I., Moroz, V.: Facet formation during solid phase epitaxy regrowth: a lattice kinetic Monte Carlo model. Appl. Phys. Lett. 95, 123123 (2009)

    Article  Google Scholar 

  101. Deal, B.E., Grove, A.S.: General relationship for the thermal oxidation of silicon. J. Appl. Phys. 36(12), 3770–3778 (1965)

    Article  Google Scholar 

  102. Massoud, H.Z., Plummer, J.D.: Thermal oxidation of silicon in dry oxygen growth-rate enhancement in the thin regime. J. Electrochem. Soc. 132(11), 2685–2693 (1985)

    Article  Google Scholar 

  103. Kao, D.-B., McVittie, J.P., Nix, W.D., Saraswat, K.C.: Two-dimensional silicon oxidation experiments and theory. In: Proceedings IEDM 1985, pp. 388–391. IEEE (1985)

    Google Scholar 

  104. Seidl, A.: Zweidimensionale Simulation der lokalen Oxidation von Silicium, PhD thesis, Universität Erlangen-Nürnberg, 1988

    Google Scholar 

  105. Eyring, H.: Viscosity, plasticity and diffusion as examples of absolute reaction rates. J. Chem. Phys. 4, 283–291 (1936)

    Article  Google Scholar 

  106. Rafferty, C.S., Borucki, L., Dutton, R.W.: Plastic flow during thermal oxidation of silicon. Appl. Phys. Lett. 54, 1516–1518 (1989)

    Article  Google Scholar 

  107. Chin, D., Oh, S.-Y., Hu, S.-M., Dutton, R., Moll, J.L.: Two-dimensional oxidation. IEEE Trans. Electron Devices. ED-30 (7), 744–749 (1983)

    Article  Google Scholar 

  108. Chorin, A.J.: A numerical method for solving incompressible viscous flow problems. J. Comput. Phys. 2, 12–26 (1967)

    Article  MathSciNet  MATH  Google Scholar 

  109. Mack, C.: Fundamental Principles of Optical Lithography. WILEY, The Atrium/Southern Gate/Chichester/West Sussex/England (2007)

    Book  Google Scholar 

  110. Multiple Patterning, Semiconductor Engineering, https://semiengineering.com (2019)

  111. Wong, A.K.K.: Optical Imaging in Projection Microlithography. SPIE Press, Bellingham (2005)

    Book  Google Scholar 

  112. Bakshi, V.: EUV Lithography. SPIE Press, Bellingham (2017)

    Google Scholar 

  113. Hopkins, H.H.: On the diffraction theory of optical image. Proc. R. Soc. London Ser. A. 217, 408–432 (1953)

    Article  MathSciNet  MATH  Google Scholar 

  114. Born, M., Wolf, E.: Principles of Optics: Electromagnetic Theory of Propagation, Interference, and Diffraction of Light. Cambridge University Press, London (1999)

    Book  MATH  Google Scholar 

  115. Taflove, A.: The Finite-Difference Time-Domain Method. ARTECH HOUSE, Boston (1995)

    MATH  Google Scholar 

  116. Moharam, M.G., Pommet, D.A., Grann, E.B., Gaylord, T.K.: Stable implementation of the rigorous coupled wave analysis for surface relief gratings: enhanced transmittance matrix approach. J. Opt. Soc. Am. A 12, 1077–1086 (1995)

    Article  Google Scholar 

  117. Erdmann, A., Evanschitzky, P., Citarella, G., Fühner, T.; De Bisschop, P.: Rigorous mask modeling using waveguide and FDTD methods: an assessment for typical hyper-NA imaging problems. In: Proceedings SPIE 6283, Photomask and Next-Generation Lithography Mask Technology XIII, Yokohama, 2006, 628319 (19 May 2006); https://doi.org/10.1117/12.681872

  118. Dill, F.H., Hornberger, W.P., Hauge, P.S., Shaw, J.M.: Characterization of positive photoresist. IEEE Trans. Electron Devices. ED-22(7), 445–445 (1975)

    Article  Google Scholar 

  119. PROLITH, https://www.kla-tencor.com

  120. Sentaurus Litho, https://www.synopsys.com

  121. OPTOLITH, https://www.silvaco.com

  122. Fühner, T., Schnattinger, T., Ardelean, G., Erdmann, A.: Dr. LiTHO: a development and research lithography simulator. In: Proceedings SPIE 6520, Optical Microlithography XX, 65203F (27 March 2007); https://doi.org/10.1117/12.709535

  123. Evanschitzky, P., Erdmann, A.: Advanced EUV mask and imaging modeling. J. Micro/Nanolith. MEMS MOEMS. 16(4), 041005 (2017). https://doi.org/10.1117/1.JMM.16.4.041005

    Article  Google Scholar 

  124. Fühner, T., Erdmann, A., Evanschitzky, P.: Simulation-based EUV source and mask optimization. In: Proceedings SPIE 7122, Photomask Technology 2008, 71221Y (17 October 2008); https://doi.org/10.1117/12.801436

  125. Evanschitzky, P., Erdmann, A.: Efficient simulation of EUV pellicles. In: Proceedings SPIE 10450, International Conference on Extreme Ultraviolet Lithography 2017, 104500B (16 October 2017); https://doi.org/10.1117/12.2280535

  126. Evanschitzky, P., Shao, F., Erdmann, A.: Efficient simulation of extreme ultraviolet multilayer defects with rigorous data base approach. J. Micro/Nanolith. MEMS MOEMS. 12(2), 021005 (2013)

    Article  Google Scholar 

  127. Maury, M., Hassouni, K., Michau, A., Torregrosa, F., Borvon, G.: Simulation of a PIII reactor with a magnetized remote source. In: Proceedings 20th International Symposium on Plasma Chemistry (ISPC 20), 2011

    Google Scholar 

  128. Simulator CFD-ACE+; ESI Group: Paris, France, 2018

    Google Scholar 

  129. Q-VT Plasma Processing Simulator; Quantemol Ltd.: London, UK, 2018

    Google Scholar 

  130. Hackenberg, M., Rommel, M., Rummler, M., Lorenz, J., Pichler, P., Huet, K., Negru, R., Fisicaro, G., la Magna, A., Taleb, N., Quillec, M.: Melt depth and time variations during pulsed laser thermal annealing with one and more pulses. In: Proceedings 43rd European Solid State Device Research Conference (ESSDERC 2013), pp. 214–217, Bucharest, Romania, 16–20 September, 2013, IEEE, Piscataway

    Google Scholar 

  131. Dill, F.H., Neureuther, A.R., Tuttle, J.A., Walker, E.J.: Modeling projection printing of positive photoresists. IBM Res. RC 5261 (1975)

    Google Scholar 

  132. Bär, E., Lorenz, J.: 3-D simulation of LPCVD using segment-based topography discretization. IEEE Trans. Semicond. Manuf. 9(1), 67–73 (1996)

    Article  Google Scholar 

  133. Jewett, R.: A String Model Etching Algorithm, Memorandum N. UCB/ERL M79/68. Electronics Research Laboratory, University of California, Berkeley (1979)

    Book  Google Scholar 

  134. Bär, E., Lorenz, J., Ryssel, H.: Simulation of the influence of via sidewall tapering on step coverage of sputter-deposited barrier layers. Microelectron. Eng. 64, 321–328 (2002)

    Article  Google Scholar 

  135. Kistler, S., Bär, E., Lorenz, J., Ryssel, H.: Three-dimensional simulation of ionized metal plasma vapor deposition. Microelectron. Eng. 76, 100–105 (2004)

    Article  Google Scholar 

  136. Rey, J.C., Cheng, L.-Y., McVittie, J.P., Saraswat, K.C.: Monte Carlo low pressure deposition profile simulations. J. Vac. Sci. Technol. A. 9, 1083–1087 (1991)

    Article  Google Scholar 

  137. Filipovic, L.: Modeling and simulation of atomic layer deposition. In: Proceedings SISPAD 2019, pp. 323–326. IEEE, Piscataway, 2019

    Google Scholar 

  138. Bär, E., Kunder, D., Evanschitzky, P., Lorenz, J.: Coupling of equipment simulation and feature-scale profile simulation for dry-etching of polysilicon gate lines. In: Baccarani, G., Rudan, M. (eds.) Proceedings SISPAD 2010, pp. 57–60. IEEE, Piscataway (2010)

    Google Scholar 

  139. Klemenschits, X., Selberherr, S., Filipovic, L.: Modeling of gate stack patterning for advanced technology nodes: a review. Micromachines. 9(12), 631 (2018). https://doi.org/10.3390/mi9120631

    Article  Google Scholar 

  140. Asenov, A., Kaya, S., Brown, A.R.: Intrinsic parameter fluctuations in dacananometer MOSFETs introduced by gate line edge roughness. IEEE Trans. Electron Devices. 50(5), 1254–1260 (2003)

    Article  Google Scholar 

  141. Brown, A.R., Idris, N.M., Watling, J.R., Asenov, A.: Impact of metal grain granularity on threshold voltage variability: a full scale statistical simulation study. IEEE Electron Device Lett. 31(11), 1199–1202 (2010)

    Google Scholar 

  142. Garand User Guide, {online}, https://solvnet.synopsys.com, Synopsys, Inc., Mountain View, 2019

  143. Lorenz, J.K., Asenov, A., Baer, E., Barraud, S., Kluepfel, F., Millar, C., Nedjalkov, M.: Process variability for devices at and beyond the 7 nm node. ECS J. Solid State Sci. Technol. 7, 595–601 (2018)

    Article  Google Scholar 

  144. Wang, X., Reid, D., Wang, L., Burenkov, A., Millar, C., Lorenz, J., Asenov, A.: Hierarchical variability-aware compact models of 20 nm bulk CMOS. In: Goldsman, N., Stettler, M. (eds.) Proceedings SISPAD 2015, pp. 325–328. IEEE, Piscataway (2015)

    Google Scholar 

  145. Lorenz, J., Bär, E., Barraud, S., Brown, A., Evanschitzky, P., Klüpfel, F., Wang, L.: Process variability – technological challenge and design issue for nanoscale devices. Micromachines. 10(1), 6 (2019). https://doi.org/10.3390/mi10010006

    Article  Google Scholar 

Download references

Acknowledgments

The authors of this chapter want to acknowledge the funding of some of the research leading to their results presented here by the European Union and by the German Federal Ministry of Education and Research. They want to acknowledge the contributions of colleagues from Fraunhofer IISB in terms of discussions and preparation of some figures, especially by E. Bär, A. Burenkov, and P. Evanschitzky.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jürgen Lorenz .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lorenz, J., Pichler, P. (2023). Process Simulation. In: Rudan, M., Brunetti, R., Reggiani, S. (eds) Springer Handbook of Semiconductor Devices . Springer Handbooks. Springer, Cham. https://doi.org/10.1007/978-3-030-79827-7_35

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-79827-7_35

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-79826-0

  • Online ISBN: 978-3-030-79827-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics