Skip to main content
Log in

A Survey on Performance Optimization of High-Level Synthesis Tools

  • Survey
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

Field-programmable gate arrays (FPGAs) have recently evolved as a valuable component of the heterogeneous computing. The register transfer level (RTL) design flows demand the designers to be experienced in hardware, resulting in a possible failure of time-to-market. High-level synthesis (HLS) permits designers to work at a higher level of abstraction through synthesizing high-level language programs to RTL descriptions. This provides a promising approach to solve these problems. However, the performance of HLS tools still has limitations. For example, designers remain exposed to various aspects of hardware design, development cycles are still time consuming, and the quality of results (QoR) of HLS tools is far behind that of RTL flows. In this paper, we survey the literature published since 2014 focusing on the performance optimization of HLS tools. Compared with previous work, we extend the scope of the performance of HLS tools, and present a set of three-level evaluation criteria, covering from ease of use of the HLS tools to promotion on specific metrics of QoR. We also propose performance evaluation equations for describing the relation between the performance optimization and the QoR. We find that it needs more efforts on the ease of use for efficient HLS tools. We suggest that it is better to draw an analogy between the HLS development process and the embedded system design process, and to provide more elastic HLS methodology which integrates FPGAs virtual machines.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. DiCecco R, Lacey G, Vasiljevic J, Chow P, Taylor G, Areibi S. Caffeinated FPGAs: FPGA framework for convolutional neural networks. In Proc. the 2016 International Conference on Field-Programmable Technology, December 2016, pp.265-268.

  2. Ahmed E, Rose J. The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2004, 12(3): 288-298.

    Article  Google Scholar 

  3. Coussy P, Adam M. High-level Synthesis: From Algorithm to Digital Circuits. Springer, 2008.

  4. Jain A, Fahmy S A, Maskell D L. Efficient overlay architecture based on DSP blocks. In Proc. the 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2015, pp.25-28.

  5. Koch D, Beckhoff C, Lemieux G F. An efficient FPGA overlay for portable custom instruction set extensions. In Proc. the 23rd International Conference on Field Programmable Logic and Applications, September 2013, Article No. 43.

  6. Capalija D, Abdelrahman T S. Tile-based bottom-up compilation of custom mesh-of-functional-units FPGA overlays. In Proc. the 24th International Conference on Field Programmable Logic and Applications, September 2014, Article No. 79.

  7. Lin C Y, So K H. Energy-efficient dataflow computations on FPGAs using application-specific coarse-grain architecture synthesis. ACM SIGARCH Computer Architecture News, 2012, 40(5): 58-63.

    Article  Google Scholar 

  8. Abdelfattah M S, Han D, Bitar A et al. DLA: Compiler and FPGA overlay for neural network inference acceleration. In Proc. the 28th International Conference on Field Programmable Logic and Applications, August 2018, pp.411-418.

  9. Najem M, Bollengier T, Lann J L, Lagadec L. Extended overlay architectures for heterogeneous FPGA cluster management. Journal of Systems Architecture: Embedded Software Design, 2017, 78: 1-14.

    Article  Google Scholar 

  10. Jain A K, Maskell D L, Fahmy S A. Resource-aware Just-in-Time OpenCL compiler for coarse-grained FPGA overlays. arXiv:1705.02730, 2017. https://rxiv.org/abs/1705.02730, Dec. 2019.

  11. Zhang C, Li P, Sun G, Guan Y, Xiao B, Cong J. Optimizing FPGA-based accelerator design for deep convolutional neural networks. In Proc. the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2015, pp.161-170.

  12. Knapp D W. Behavioral Synthesis: Digital System Design Using the Synopsys Behavioral Compiler (Har/Dskt edition). Prentice Hall, 1996.

  13. Elliott J P. Understanding Behavioral Synthesis: A Practical Guide to High-Level Design. Kluwer Academic Publishers, 1999.

  14. Wolf W. A decade of hardware/software codesign. IEEE Computer, 2003, 36(4): 38-43.

    Article  Google Scholar 

  15. Nane R, Sima V M, Pilato C et al. A survey and evaluation of FPGA high-level synthesis tools. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(10): 1591-1604.

    Article  Google Scholar 

  16. Najjar W A, Böhm A P W, Draper B A, Hammes J, Rinker R, Beveridge J R, Chawathe M, Ross C. High-level language abstraction for reconfigurable computing. IEEE Computer, 2003, 36(8): 63-69.

    Article  Google Scholar 

  17. Coussy P, Chavet C, Bomel P, Heller D, Senn E, Martin E. GAUT: A high-level synthesis tool for DSP applications. In High-Level Synthesis: From Algorithm to Digital Circuit, Coussy P, Morawiec A (eds.), Springer Netherlands, 2008, pp.147-169.

  18. Pilato C, Ferrandi F. Bambu: A modular framework for the high level synthesis of memory-intensive applications. In Proc. the 23rd International Conference on Field Programmable Logic and Applications, September 2013, Article No. 56.

  19. Nane R, Sima V M, Olivier B, Meeuws R, Yankova Y, Bertels K. DWARV 2.0: A CoSy-based C-to-VHDL hardware compiler. In Proc. the 22nd International Conference on Field Programmable Logic and Applications, August 2012, pp.619-622.

  20. Cong J, Liu B, Neuendorffer S, Noguera J, Vissers K, Zhang Z. High-level synthesis for FPGAs: From prototyping to deployment. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2011, 30(4): 473-491.

    Article  Google Scholar 

  21. Lattner C, Adve V. LLVM: A compilation framework for lifelong program analysis & transformation. In Proc. the 2nd IEEE/ACM International Symposium on Code Generation and Optimization, March 2004, pp.75-86.

  22. Muslim F B,Ma L, Roozmeh M, Lavagno L. Efficient FPGA implementation of OpenCL high-performance computing applications via high-level synthesis. IEEE Access, 2017, 5: 2747-2762.

    Article  Google Scholar 

  23. Kobayashi R, Oobata Y, Fujita N, Yamaguchi Y, Boku T. OpenCL-ready high speed FPGA network for reconfigurable high performance computing. In Proc. the International Conference on High Performance Computing in Asia-Pacific Region, January 2018, pp.192-201.

  24. Kathail V, Aditya S, Schreiber R, Rau B R, Cronquist D C, Sivaraman M. PICO: Automatically designing custom computers. IEEE Computer, 2002, 35(9): 39-47.

    Article  MATH  Google Scholar 

  25. Wakabayashi K, Okamoto T. C-based SoC design flow and EDA tools: An ASIC and system vendor perspective. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2000, 19(12): 1507-1522.

    Article  Google Scholar 

  26. Nikhil R. Bluespec system Verilog: Efficient, correct RTL from high level specifications. In Proc. the 2nd ACM/IEEE International Conference on Formal Methods and MODELS for Co-Design, June 2004, pp.69-70.

  27. Dang V, Skadron K. Acceleration of frequent itemset mining on FPGA using SDAccel and Vivado HLS. In Proc. the 28th IEEE International Conference on Application-Specific Systems, Architectures and Processors, July 2017, pp.195-200.

  28. Lin Y. ArchSyn: An energy-efficient FPGA high-level synthesizer [Ph.D. Thesis]. University of Hong Kong, Hong Kong, 2012.

  29. Oussama K, Naeem R, Abbes A, Khalida G, Fatima C. Design and evaluation of Vivado HLS-based compressive sensing for ECG signal analysis. In Proc. the 16th IEEE International Conference on Dependable, Autonomic and Secure Computing, the 16th Int. Conf. Pervasive Intelligence and Computing, the 4th Int. Conf. Big Data Intelligence and Computing and the 3rd IEEE Cyber Science and Technology Congress, August 2018, pp.457-461.

  30. Capalija D, Abdelrahman T S. A high-performance overlay architecture for pipelined execution of data flow graphs. In Proc. the 23rd International Conference on Field Programmable Logic and Applications, September 2013, Article No. 119.

  31. Lin C Y, Jiang Z H, Fu C, So K H, Yang H. FPGA high-level synthesis versus overlay: Comparisons on computation kernels. ACM SIGARCH Computer Architecture News, 2017, 44(4): 92-97.

    Article  Google Scholar 

  32. Neuendorffer S, Martinez-Vallina F. Building zynq®accelerators with Vivado® high level synthesis. In Proc. the 2013 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2013, pp.1-2.

  33. Nane R, Sima V M, Pilato C et al. A survey and evaluation of FPGA high-level synthesis tools. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(10): 1591-1604.

    Article  Google Scholar 

  34. Farhat W, Sghaier S, Faiedh H, Souani C. Design of efficient embedded system for road sign recognition. Journal of Ambient Intelligence & Humanized Computing, 2019, 10(2): 491-507.

    Article  Google Scholar 

  35. Goeders J, Wilton S J E. Allowing software developers to debug HLS hardware. arXiv: 1508.06805, 2015. https://arxiv.org/abs/1508.06805, Dec. 2019.

  36. Lahti S, Sjovall P, Vanne J, Hämäläinen T D. Are we there yet? A study on the state of high-level synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, 38(5): 898-911.

    Article  Google Scholar 

  37. Schäfer B C. Enabling high-level synthesis resource sharing design space exploration in FPGAs through automatic internal bitwidth adjustments. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, 36(1): 97-105.

    Article  Google Scholar 

  38. Mathieson K, Keil M. Beyond the interface: Ease of use and task/technology fit. Information & Management, 1998, 34(4): 221-230.

    Article  Google Scholar 

  39. Lo C, Chow P. Model-based optimization of high level synthesis directives. In Proc. the 26th International Conference on Field Programmable Logic and Applications, August 2016, Article No. 60.

  40. Kuga M, Fukuda K, Amagasaki M, Iida M, Sueyoshi T. High-level synthesis based on parallel design patterns using a functional language. In Proc. the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, June 2017, Article No. 23.

  41. Mori J Y, Werner A, Fricke F, H¨uebner M. A rapid prototyping method to reduce the design time in commercial high-level synthesis tools. In Proc. the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, May 2016, pp.253-258.

  42. Josipovic L, George N, Ienne P. Enriching C-based highlevel synthesis with parallel pattern templates. In Proc. the 2016 International Conference on Field-Programmable Technology, December 2016, pp.177-180.

  43. Kastner R, Matai J, Neuendorffer S. Parallel programming for FPGAs. arXiv:1805.03648, 2018. https://arxiv.org/abs/1805.03648, Dec. 2019.

  44. Doucet F, Kurshan R. A methodology to take credit for high-level verification during RTL verification. Formal Methods in System Design, 2017, 51(2): 395-418.

    Article  MATH  Google Scholar 

  45. Calagar N, Brown S D, Anderson J H. Source-level debugging for FPGA high-level synthesis. In Proc. the 24th International Conference on Field Programmable Logic and Applications, September 2014, Article No. 124.

  46. Campbell K A, Lin D, Mitra S, Chen D. Hybrid quick error detection (H-QED): Accelerator validation and debug using high-level synthesis principles. In Proc. the 52nd Annual Design Automation Conference, June 2015, Article No. 53.

  47. Monson J S, Hutchings B L. Using source-level transformations to improve high-level synthesis debug and validation on FPGAs. In Proc. the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2015, pp.5-8.

  48. Yang L, Ikram M, Gurumani S, Fahmy S A, Chen D, Rupnow K. JIT trace-based verification for high-level synthesis. In Proc. the 2015 International Conference on Field Programmable Technology, December 2016, pp.228-231.

  49. Schmid M, Apelt N, Hannig F, Teich J. An image processing library for C-based high-level synthesis. In Proc. the 24th International Conference on Field Programmable Logic and Applications, September 2014, Article No. 47.

  50. Özkan M A, Reiche O, Hannig F, Teich J. A highly efficient and comprehensive image processing library for C++-based high-level synthesis. In Proc. the 4th International Workshop on FPGAs for Software Programmers, August 2017, pp.2-10.

  51. Licht J D F, Blott M, Hoefler T. Designing scalable FPGA architectures using high-level synthesis. In Proc. the 23rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, February 2018, pp.403-404.

  52. Matai J, Lee D, Althoff A, Kastner R. Composable, parameterizable templates for high-level synthesis. In Proc. Design, Automation & Test in Europe Conference & Exhibition, August 2016, pp.744-749.

  53. Kneuper R. Sixty years of software development life cycle models. IEEE Annals of the History of Computing, 2017, 39(3): 41-54.

    Google Scholar 

  54. Yadav H B , Yadav D K. Defects prediction of early phases of software development life cycle using fuzzy logic. In Proc. the 4th International Conference on Confluence: The Next Generation Information Technology Summit, Sept. 2013, pp.2-6.

  55. Moullec Y L, Diguet J P, Gourdeaux T, Philippe J L. Design-Trotter: System-level dynamic estimation task a first step towards platform architecture selection. Journal of Embedded Computing, 2005, 1(4): 565-586.

    Google Scholar 

  56. Bilavarn S, Gogniat G, Philippe J L, Bossuet L. Design space pruning through early estimations of area/delay tradeoffs for FPGA implementations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25(10): 1950-1968.

    Article  Google Scholar 

  57. Sun W, Wirthlin M J, Neuendorffer S. FPGA pipeline synthesis design exploration using module selection and resource sharing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2007, 26(2): 254-265.

    Article  Google Scholar 

  58. Schafer B C, Wakabayashi K. Machine learning predictive modeling high-level synthesis design space exploration. IET Computers & Digital Techniques, 2012, 6(3): 153-159.

    Article  Google Scholar 

  59. Zhong G, Prakash A, Liang Y, Mitra T, Niar S. Lin-Analyzer: A high-level performance analysis tool for FPGA-based accelerators. In Proc. the 53rd Annual Design Automation Conference, June 2016, Article No. 136.

  60. Prost-Boucle A, Muller O, Rousseau F. Fast and standalone design space exploration for high-level synthesis under resource constraints. Journal of Systems Architecture, 2014, 60(1): 79-93.

    Article  Google Scholar 

  61. Schäfer B C. Probabilistic multi knob high-level synthesis design space exploration acceleration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 35(3): 394-406.

    Article  Google Scholar 

  62. Liu D, Schäfer B C. Efficient and reliable high-level synthesis design space explorer for FPGAs. In Proc. the 26th International Conference on Field Programmable Logic and Applications, August 2016, Article No. 72.

  63. Ferretti L, Ansaloni G, Pozzi L. Cluster-based heuristic for high level synthesis design space exploration. IEEE Transactions on Emerging Topics in Computing. doi:https://doi.org/10.1109/TETC.2018.2794068.

  64. Ferrandi F, Lanzi P L, Loiacono D, Pilato C, Sciuto D. A multi-objective genetic algorithm for design space exploration in high-level synthesis. In Proc. IEEE Computer Society Symposium on VLSI, April 2008, pp.417-422.

  65. Sengupta A, Sedaghat R. Integrated scheduling, allocation and binding in high level synthesis using multi structure genetic algorithm based design space exploration. In Proc. the 12th International Symposium on Quality Electronic Design, March 2011, pp.486-494.

  66. Ram D S H, Bhuvaneswari M C, Logesh S M. A novel evolutionary technique for multi-objective power, area and delay optimization in high level synthesis of datapaths. In Proc. IEEE Computer Society Symposium on VLSI, July 2011, pp.290-295.

  67. Schafer B C, Takenaka T, Wakabayashi K. Adaptive simulated annealer for high level synthesis design space exploration. In Proc. the 2009 International Symposium on VLSI Design, Automation and Test, April 2009, pp.106-109.

  68. Liu C, Zhao Q, Yan B, Elsayed S M, Ray T, Sarker R A. Adaptive sorting-based evolutionary algorithm for many objective optimization. IEEE Transactions on Evolutionary Computation, 2019, 23(2): 247-257.

    Article  Google Scholar 

  69. Schmid M, Reiche O, Hannig F, Teich J. Loop coarsening in C-based high-level synthesis. In Proc. the 26th IEEE International Conference on Application-Specific Systems, Architectures and Processors, July 2015, pp.166-173.

  70. Li C, Bi Y, Benezeth Y, Ginhac D, Yang F. High-level synthesis for FPGAs: Code optimization strategies for realtime image processing. Journal of Real-Time Image Processing, 2017, 27(9): 31-42.

    Google Scholar 

  71. Li C, Bi Y, Benezeth Y, Ginhac D, Yang F. Fast FPGA prototyping for real-time image processing with very high level synthesis. Journal of Real-Time Image Processing, 2017, 27(9): 1-18.

    Google Scholar 

  72. Matai J, Richmond D, Lee D, Blair Z, Wu Q, Abazari A, Kastner R. Resolve: Generation of high-performance sorting architectures from high-level synthesis. In Proc. the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2016, pp.195-204.

  73. Minutoli M, Castellana V G, Tumeo A, Lattuada M, Ferrandi F. Enabling the high level synthesis of data analytics accelerators. In Proc. the 11th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, October 2016, Article No. 15.

  74. Liang M, Lavagno L, Lazarescu M T, Arif A. Acceleration by inline cache for memory-intensive algorithms on FPGA via high-level synthesis. IEEE Access, 2017, 5: 18953-18974.

    Article  Google Scholar 

  75. Hadjis S, Canis A, Sobue R, Hara-Azumi Y, Tomiyama H, Anderson J. Profiling-driven multi-cycling in FPGA high-level synthesis. In Proc. the 2015 Design, Automation & Test in Europe Conference & Exhibition, March 2015, pp.31-36.

  76. Zhao R, Tan M, Dai S, Zhang Z. Area-efficient pipelining for FPGA-targeted high-level synthesis. In Proc. the 52nd Annual Design Automation Conference, June 2015, Article No. 157.

  77. Garibotti R, Reagen B, Shao Y S, Wei G Y, Brooks D. Using dynamic dependence analysis to improve the quality of high-level synthesis designs. In Proc. IEEE International Symposium on Circuits and Systems, May 2017.

  78. Garibotti R, Reagen B, Shao Y S, Wei G, Brooks D. Assisting high-level synthesis improve SpMV benchmark through dynamic dependence analysis. IEEE Transactions on Circuits and Systems II: Express Briefs, 2018, 65-II(10): 1440-1444.

  79. Josipovic L, Ghosal R, Ienne P. Dynamically scheduled high-level synthesis. In Proc. the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2018, pp.127-136.

  80. Li P, Zhang P, Pouchet L N, Cong J. Resource-aware throughput optimization for high-level synthesis. In Proc. the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2015, pp.200-209.

  81. Choi J, Brown S, Anderson J. Resource and memory management techniques for the high-level synthesis of software threads into parallel FPGA hardware. In Proc. the 2015 International Conference on Field Programmable Technology, December 2015, pp.152-159.

  82. Zhao R, Liu G, Srinath S, Batten C, Zhang Z. Improving high-level synthesis with decoupled data structure optimization. In Proc. the 53rd Annual Design Automation Conference, June 2016, Article No. 137.

  83. Huang Q, Lian R, Canis A, Choi J, Xi R, Calagar N, Brown S, Anderson J. The effect of compiler optimizations on highlevel synthesis-generated hardware. ACM Transactions on Reconfigurable Technology & Systems, 2015, 8(3): Article No. 14.

  84. Dai S, Zhou Y, Zhang H, Ustun E, Young E F, Zhang Z. Fast and accurate estimation of quality of results in high-level synthesis with machine learning. In Proc. the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, April 2018, pp.129-132.

  85. Zhong G, Venkataramani V, Liang Y, Mitra T, Niar S. Design space exploration of multiple loops on FPGAs using high level synthesis. In Proc. the 32nd IEEE International Conference on Computer Design, October 2014, pp.456-463.

  86. Li P, Pouchet L, Cong J. Throughput optimization for highlevel synthesis using resource constraints. In Proc. the 4th International Workshop on Polyhedral Compilation Techniques, January 2014.

  87. Liu J, Wickerson J, Constantinides G A. Loop splitting for efficient pipelining in high-level synthesis. In Proc. the 24th IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2016, pp.72-79.

  88. Khanh P N, Singh A K, Kumar A, Aung K M K. Exploiting loop-array dependencies to accelerate the design space exploration with high level synthesis. In Proc. the 2015 Design, Automation & Test in Europe Conference & Exhibition, March 2015, pp.157-162.

  89. Gao X, Wickerson J, Constantinides G A. Automatically optimizing the latency, area, and accuracy of C programs for high-level synthesis. In Proc. the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2016, pp.234-243.

  90. Panda P R, Sharma N, Kurra S, Bhartia K A, Singh N K. Exploration of loop unroll factors in high level synthesis. In Proc. the 31st International Conference on VLSI Design and the 17th International Conference on Embedded Systems, January 2018, pp.465-466.

  91. Dai S, Liu G, Zhao R, Zhang Z. Enabling adaptive loop pipelining in high-level synthesis. In Proc. the 51st Asilomar Conference on Signals, Systems, and Computers, October 2017, pp.131-135.

  92. Dai S, Zhao R, Liu G, Srinath S, Gupta U, Batten C, Zhang Z. Dynamic hazard resolution for pipelining irregular loops in high-level synthesis. In Proc. the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2017, pp.189-194.

  93. Wang Y, Li P, Cong J. Theory and algorithm for generalized memory partitioning in high-level synthesis. In Proc. the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2014, pp.199-208.

  94. Pilato C, Mantovani P, Guglielmo G D, Carloni L P. System-level memory optimization for high-level synthesis of component-based SoCs. In Proc. the 2014 International Conference on Hardware/Software Codesign & System Synthesis, October 2014, Article No. 18.

  95. Canis A, Choi J, Aldham M, Zhang V, Kammoona A, Czajkowski T, Brown S D, Anderson J H. LegUp: An opensource high-level synthesis tool for FPGA-based processor/accelerator systems. ACM Transactions on Embedded Computing Systems, 2013, 13(2): Article No. 24.

  96. Chen Y T, Anderson J H. Automated generation of banked memory architectures in the high-level synthesis of multi-threaded software. In Proc. the 27th International Conference on Field Programmable Logic and Applications, September 2017, Article No. 99.

  97. Winterstein F J. Separation Logic for High-level Synthesis. Springer, 2017.

  98. Uguen Y, de Dinechin F, Derrien S. Bridging high-level synthesis and application-specific arithmetic: The case study of floating-point summations. In Proc. the 27th International Conference on Field Programmable Logic and Applications, September 2017, Article No. 38.

  99. Alam M R, Salehi M, Fakhraie S M. Power efficient high level synthesis by centralized and fine-grained clock gating. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015, 34(12): 1954-1963.

    Article  Google Scholar 

  100. Qamar A, Muslim F B, Iqbal J, Lavagno L. LP-HLS: Automatic power-intent generation for high-level synthesis based hardware implementation flow. Microprocessors & Microsystems, 2017, 50: 26-38.

    Article  Google Scholar 

  101. Hara Y, Tomiyama H, Honda S, Takada H, Ishii K. CHStone: A benchmark program suite for practical C-based high-level synthesis. In Proc. the 2008 IEEE International Symposium on Circuits and Systems, May 2008, pp.1192-1195.

  102. Schäfer B C, Mahapatra A. S2CBench: Synthesizable SystemC benchmark suite for high-level synthesis. IEEE Embedded Systems Letters, 2014, 6(3): 53-56.

    Article  Google Scholar 

  103. Zhou Y, Gupta U, Dai S et al. Rosetta: A realistic highlevel synthesis benchmark suite for software programmable FPGAs. In Proc. the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, February 2018, pp.269-278.

  104. Rodríguez A, Valverde J, Portilla J, Otero A, Riesgo T, de la Torre E. FPGA-based high-performance embedded systems for adaptive edge computing in cyber-physical systems: The ARTICo3 framework. Sensors, 2018, 18(6):1877

  105. O’Loughlin D, Coffey A, Callaly F, Lyons D, Morgan F. Xilinx Vivado high level synthesis: Case studies. In Proc. the 25th IET Irish Signals & Systems Conference and 2014 China-Ireland International Conference on Information and Communities Technologies, June 2014, pp.352-356.

  106. Beaumont O, Becker B A, DeFlumere A M, Eyraud-Dubois L, Lambert T, Lastovetsky A L. Recent advances in matrix partitioning for parallel computing on heterogeneous platforms. IEEE Transactions on Parallel & Distributed Systems, 2017, 59(99): 218-229.

    Google Scholar 

  107. Vesper M, Koch D, Vipin K, Fahmy S A. JetStream: An open-source high-performance PCI Express 3 streaming library for FPGA-to-Host and FPGA-to-FPGA communication. In Proc. the 26th International Conference on Field Programmable Logic and Applications, August 2016, Article No. 36.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kang-Ping Wang.

Electronic supplementary material

ESM 1

(PDF 368 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Huang, L., Li, DL., Wang, KP. et al. A Survey on Performance Optimization of High-Level Synthesis Tools. J. Comput. Sci. Technol. 35, 697–720 (2020). https://doi.org/10.1007/s11390-020-9414-8

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-020-9414-8

Keywords

Navigation