Skip to main content

Enhancing Lifetime of Non-volatile Memory Caches by Write-Aware Techniques

  • Conference paper
  • First Online:
Emerging Electronic Devices, Circuits and Systems

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 1004))

Abstract

Traditional memory technologies, such as SRAM, suffer from limited package density and high leakage power. Applications are getting increasingly memory hungry in the age of big data. Non-volatile memories such as STTRAM, PCM, and ReRAM emerged as attractive contenders to replace traditional SRAM-based memories. They have high density and zero leakage power. However, they have a limited write endurance. Non-uniform write patterns in applications can shorten the life of non-volatile memories. Traditional cache block replacement strategy like LRU leads some cache blocks to be accessed more frequently than others, accelerating the wear out of the cache. We present a Write-Aware Last Level Non-Volatile Cache (WALL-NVC), which improves the lifetime up to 5.84\(\times \) for uni-core, 3.34\(\times \) for dual-core, and 4.11\(\times \) for quad-core systems. It reduces intraset write variation in last level caches up to 98.91%, 90.11%, and 94.12% for uni-core, dual-core, and quad-core systems, respectively, using write distribution and NVM-friendly replacement mechanism.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 219.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 279.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Free shipping worldwide - see info
Hardcover Book
USD 279.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Chi P, Li S, Cheng Y, Lu Y, Kang SH, Xie Y (2016) Architecture design with STT-RAM: opportunities and challenges. In: 2016 21st Asia and South Pacific design automation conference (ASP-DAC), pp 109–114. https://doi.org/10.1109/ASPDAC.2016.7427997

  2. Wong HP et al (2010) Phase change memory. In: Proceedings of the IEEE, vol 98, no 12, pp 2201–2227. https://doi.org/10.1109/JPROC.2010.2070050

  3. Akinaga H, Shima H (2010) Resistive Random Access Memory (ReRAM) based on metal oxides. Proc IEEE 98(12):2237–2251. https://doi.org/10.1109/JPROC.2010.2070830

    Article  Google Scholar 

  4. Mittal S, Vetter JS (2016) A survey of software techniques for using non-volatile memories for storage and main memory systems. IEEE Trans Parallel Distrib Syst 27(5):1537–1550. https://doi.org/10.1109/TPDS.2015.2442980

  5. Dong X, Xu C, Xie Y, Jouppi NP (2012) NVSim: a circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans Comput Aided Des Integr Circ Syst 31(7):994–1007. https://doi.org/10.1109/TCAD.2012.2185930

  6. Mittal S, Vetter JS (2016) EqualWrites: reducing intra-set write variations for enhancing lifetime of non-volatile caches. IEEE Trans Very Large Scale Integr (VLSI) Syst 24(1):103–114. https://doi.org/10.1109/TVLSI.2015.2389113

  7. Saraf P, Mutyam M (2019) Endurance enhancement of write-optimized STT-RAM caches. In: Proceedings of the international symposium on memory systems (MEMSYS ’19). Association for Computing Machinery, New York, NY, USA, pp 101–113. https://doi.org/10.1145/3357526.3357538

  8. Henning John L (2006) SPEC CPU2006 benchmark descriptions. SIGARCH Comput Archit News 34(4):1–17. https://doi.org/10.1145/1186736.1186737

    Article  Google Scholar 

  9. Binkert N et al (2011) The gem5 simulator. SIGARCH Comput Archit News 39(2):1–7. https://doi.org/10.1145/2024716.2024718

  10. Mittal S, Vetter JS (2014) EqualChance: addressing intra-set write variation to increase lifetime of non-volatile caches. In: 2nd workshop on interactions of NVM/flash with operating systems and workloads (INFLOW 14)

    Google Scholar 

  11. Wang J, Dong X, Xie Y, Jouppi NP (2013) i2WAP: improving non-volatile cache lifetime by reducing inter- and intra-set write variations. In: 2013 IEEE 19th international symposium on high performance computer architecture (HPCA), pp 234–245. https://doi.org/10.1109/HPCA.2013.6522322

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. Sivakumar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Sivakumar, S., Mannampalli, M., Jose, J. (2023). Enhancing Lifetime of Non-volatile Memory Caches by Write-Aware Techniques. In: Giri, C., Iizuka, T., Rahaman, H., Bhattacharya, B.B. (eds) Emerging Electronic Devices, Circuits and Systems. Lecture Notes in Electrical Engineering, vol 1004. Springer, Singapore. https://doi.org/10.1007/978-981-99-0055-8_10

Download citation

  • DOI: https://doi.org/10.1007/978-981-99-0055-8_10

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-99-0054-1

  • Online ISBN: 978-981-99-0055-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics