Skip to main content

Overview of Heterogeneous Integrations

  • Chapter
  • First Online:
Heterogeneous Integrations

Abstract

Multichip module (MCM), system-in-package (SiP), and heterogeneous integration use packaging technology to integrate dissimilar chips, optical devices, and/or packaged chips with different materials and functions, from different fabless houses, foundries, wafer sizes, and feature sizes into a system or subsystem on different substrates or stand alone. What is the difference between MCM, SiP, and heterogeneous integration? The traditional MCM is mainly a 2D integration. The SiP can also be a 3D integration or called vertical-MCM or 3D-MCM. Heterogeneous integration is very similar to SiP, except heterogeneous integration is for finer pitches, more inputs/outputs (I/Os), higher density, and higher performance applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Martins, A., M. Pinheiro, A. Ferreira, R. Almeida, F. Matos, J. Oliveira, H. Santos, M. Monteiro, H. Gamboa, and R. Silva, “Heterogeneous Integration Challenges Within Wafer Level Fan-Out SiP for Wearables and IoT”, IEEE/ECTC Proceedings, May 2018, pp. 1485–1492.

    Google Scholar 

  2. Ko, CT, H. Yang, J. H. Lau, M. Li, M. Li, C. Lin, et al., “Chip-First Fan-Out Panel-Level Packaging for Heterogeneous Integration”, IEEE/ECTC Proceedings, May 2018, pp. 355–363.

    Google Scholar 

  3. Ko, CT, H. Yang, J. H. Lau, M. Li, M. Li, C. Lin, J. W. Lin, T. Chen, I. Xu, C. Chang, J. Pan, H. Wu, Q. Yong, N. Fan, E. Kuah, Z. Li, K. Tan, Y. Cheung, E. Ng, K. Wu, J. Hao, R. Beica, M. Lin, Y. Chen, Z. Cheng, S. Koh, R. Jiang, X. Cao, S. Lim, N. Lee, M. Tao, J. Lo, and R. Lee, “Chip-First Fan-Out Panel-Level Packaging for Heterogeneous Integration”, IEEE Transactions on CPMT, September 2018, pp. 1561–1572.

    Google Scholar 

  4. Hsu, F., J. Lin, S. Chen, P. Lin, J. Fang, J. Wang, and S. Jeng, “3D Heterogeneous Integration with Multiple Stacking Fan-Out Package”, IEEE/ECTC Proceedings, May 2018, pp. 337–342.

    Google Scholar 

  5. Lin, Y., S. Wu, W. Shen, S. Huang, T. Kuo, A. Lin, T. Chang, H. Chang, S. Lee, C. Lee, J. Su, X. Liu, Q. Wu, and K. Chen, “An RDL-First Fan-out Wafer Level Package for Heterogeneous Integration Applications”, IEEE/ECTC Proceedings, May 2018, pp. 349–354.

    Google Scholar 

  6. Lau, J. H., M. Li, M. Li, T. Chen, I. Xu, X. Qing, Z. Cheng, et al., “Fan-Out Wafer-Level Packaging for Heterogeneous Integration”, Proceedings of IEEE/ECTC, May 2018, pp. 2354–2360.

    Google Scholar 

  7. Lau, J. H., M. Li, M. Li, T. Chen, I. Xu, X. Qing, Z. Cheng, N. Fan, E. Kuah, Z. Li, K. Tan, Y. Cheung, E. Ng, P. Lo, K. Wu, J. Hao, S. Koh, R. Jiang, X. Cao, R. Beica, S. Lim, N. Lee, C. Ko, H. Yang, Y. Chen, M. Tao, J. Lo, and R. Lee, “Fan-Out Wafer-Level Packaging for Heterogeneous Integration”, IEEE Transactions on CPMT, 2018, September 2018, pp. 1544–1560.

    Google Scholar 

  8. Knickerbocker, J., R. Budd, B. Dang, Q. Chen, E. Colgan, L. W. Hung, S. Kumar, K. W. Lee, M. Lu, J. W. Nah, R. Narayanan, K. Sakuma, V. Siu, and B. Wen, “Heterogeneous Integration Technology Demonstrations for Future Healthcare, IoT, and AI Computing Solutions”, IEEE/ECTC Proceedings, May 2018, pp. 1519–1522.

    Google Scholar 

  9. Lau, J. H., “Fan-Out Wafer-Level Packaging for 3D IC Heterogeneous Integration”, Proceedings of CSTIC, March 2018, pp. VII_1–6.

    Google Scholar 

  10. Lau, J. H., “Heterogeneous Integration with Fan-Out Wafer-Level Packaging”, Proceedings of IWLPC, October 2017, pp. 1–25.

    Google Scholar 

  11. Panigrahi, A., C. Kumar, S. Bonam, B. Paul, T. Ghosh N. Paul, S. Vanjari, and S. Singh, “Metal-Alloy Cu Surface Passivation Leads to High Quality Fine-Pitch Bump-Less Cu-Cu Bonding for 3D IC and Heterogeneous Integration Applications”, IEEE/ECTC Proceedings, May 2018, pp. 1555–1560.

    Google Scholar 

  12. Faucher-Courchesne, C., D. Danovitch, L. Brault, M. Paquet, and E. Turcotte, “Controlling Underfill Lateral Flow to Improve Component Density in Heterogeneously Integrated Packaging Systems”, IEEE/ECTC Proceedings, May 2018, pp. 1206–1213.

    Google Scholar 

  13. Lau, J. H., “3D IC Heterogeneous Integration by FOWLP”, Chip Scale Review, Vol. 22, January/February 2018, pp. 16–21.

    Google Scholar 

  14. Hu, Y., C. Lin, Y. Hsieh, N. Chang, A. J. Gallegos, T. Souza, W. Chen, M. Sheu, C. Chang, C. Chen, K. Chen, “3D Heterogeneous Integration Structure Based on 40 nm- and 0.18 μm-Technology Nodes”, Proceedings of IEEE/ECTC, May 2015, pp. 1646–1651.

    Google Scholar 

  15. Bajwa, A., S. Jangam, S. Pal, N. Marathe, T. Bai, T. Fukushima, M. Goorsky, and S. S. Iyer, “Heterogeneous Integration at Fine Pitch (≤10 μm) using Thermal Compression Bonding”, IEEE/ECTC Proceedings, May 2017, pp. 1276–1284.

    Google Scholar 

  16. Dittrich, M., A. Heinig, F. Hopsch, and R. Trieb, “Heterogeneous Interposer Based Integration of Chips with Copper Pillars and C4 Balls to Achieve High Speed Interfaces for ADC Application”, Proceedings of IEEE/ECTC, Mat 2017, pp. 643–648.

    Google Scholar 

  17. Chuang, Y., C. Yuan, J. Chen, C. Chen, C. Yang, W. Changchien, C. Liu, and F. Lee, “Unified Methodology for Heterogeneous Integration with CoWoS Technology”, IEEE/ECTC Proceedings, May 2013, pp. 852–859.

    Google Scholar 

  18. Ko, C., H. Yang, J. H. Lau, M. Li, M. Li, et al., “Design, Materials, Process, and Fabrication of Fan-Out Panel-Level Heterogeneous Integration”, Proceedings of IMAPS Symposium, October 2018, pp. TP2_1–7.

    Google Scholar 

  19. Lau, J. H., M. Li, Y. Lei, M. Li, I. Xu, T. Chen, Q. Yong, Z. Cheng, et al., Reliability of Fan-Out Wafer-Level Heterogeneous Integration”, Proceedings of IMAPS Symposium, October 2018, pp. WA2_1–9.

    Google Scholar 

  20. Beal, A., and R. Dean, “Using SPICE to Model Nonlinearities Resulting from Heterogeneous Integration of Complex Systems”, IMAPS Proceedings, October 2017, pp. 274–279.

    Google Scholar 

  21. Lau, J. H., M. Li, Y. Lei, M. Li, I. Xu, T. Chen, Q. Yong, Z. Cheng, et al., “Reliability of Fan-Out Wafer-Level Heterogeneous Integration”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, Vol. 15, Issue 4, October 2018, pp. 148–162.

    Google Scholar 

  22. Ko, C. T., H. Yang, and J. H. Lau, “Design, Materials, Process, and Fabrication of Fan-Out Panel-Level Heterogeneous Integration”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, Vol. 15, Issue 4, October 2018, pp. 141–147.

    Google Scholar 

  23. Hanna, A, A. Alam, T, Fukushima, S. Moran, W. Whitehead, S. Jangam, S. Pal, G. Ezhilarasu, R. Irwin, A. Bajwa, and S. Iyer, “Extremely Flexible (1 mm Bending Radius) Biocompatible Heterogeneous Fan-Out Wafer-Level Platform with the Lowest Reported Die-Shift (<6 µm) and Reliable Flexible Cu-Based Interconnects”, IEEE/ECTC Proceedings, May 2018, pp. 1505–1511.

    Google Scholar 

  24. Kyozuka, M., T. Kiso, H. Toyazaki, K. Tanaka, and T. Koyama, “Development of Thinner POP base Package by Die Embedded and RDL Structure”, IMAPS Proceedings, October 2017, pp. 715–720.

    Google Scholar 

  25. Yoon, S., J. Caparas, Y. Lin, and P. Marimuthu, “Advanced Low Profile PoP Solution with Embedded Wafer Level PoP (eWLB-PoP) Technology”, IEEE/ECTC Proceedings, 2012, pp. 1250–1254.

    Google Scholar 

  26. Yoon, S., P. Tang, R. Emigh, Y. Lin, P. Marimuthu, and R. Pendse, “Fanout Flipchip eWLB (Embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solutions”, IEEE/ECTC Proceedings, 2013, pp. 1855–1860.

    Google Scholar 

  27. Lin, Y., W. Lai, C. Kao, J. Lou, P. Yang, C. Wang, and C. Hseih, “Wafer Warpage Experiments and Simulation for Fan-Out Chip on Substrate”, IEEE/ECTC Proceedings, May 2016, pp. 13–18.

    Google Scholar 

  28. Lau, J. H., Fan-Out Wafer-Level Packaging. Springer Book Company, 2018.

    Google Scholar 

  29. Lau, J. H., et al, “Apparatus Having Thermal-Enhanced and Cost-Effective 3D IC Integration Structure with Through Silicon via Interposer”. US Patent No: 8,604,603, Date of Patent: December 10, 2013.

    Google Scholar 

  30. Chiu, C., Z. Qian, and M. Manusharow, “Bridge Interconnect with Air Gap in Package Assembly”. US Patent No. 8,872,349, 2014.

    Google Scholar 

  31. Mahajan, R., R. Sankman, N. Patel, D. Kim, K. Aygun, Z. Qian, et al., “Embedded Multi-die Interconnect Bridge (EMIB)—A High-Density, High-Bandwidth Packaging Interconnect”, IEEE/ECTC Proceedings, May 2016, pp. 557–565.

    Google Scholar 

  32. Suk, K., S. Lee, J. Kim, S. Lee, H. Kim, S. Lee, P. Kim, D. Kim, D. Oh, and J. Byun, “Low Cost Si-less RDL Interposer Package for High Performance Computing Applications”, IEEE/ECTC Proceedings, May 2018, pp. 64–69.

    Google Scholar 

  33. Podpod, A., J. Slabbekoorn, A. Phommahaxay, F. Duval, A. Salahouedlhadj, M. Gonzalez, K. Rebibis, R. A. Miller, G. Beyer, and E. Beyne, “A Novel Fan-Out Concept for Ultra-High Chip-to-Chip Interconnect Density with 20-μm Pitch”, IEEE/ECTC Proceedings, May 2018, pp. 370–378.

    Google Scholar 

  34. Lau, J. H., C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, et al., “Low-Cost Through-Silicon Hole Interposers for 3D IC Integration”, IEEE Transactions on CPMT, Vol. 4, No. 9, September 2014, pp. 1407–1419.

    Google Scholar 

  35. Souriau, J., O. Lignier, M. Charrier, and G. Poupon, “Wafer Level Processing Of 3D System in Package for RF and Data Applications”, IEEE/ECTC Proceedings, 2005, pp. 356–361.

    Google Scholar 

  36. Henry, D., D. Belhachemi, J-C. Souriau, C. Brunet-Manquat, C. Puget, G. Ponthenier, J. Vallejo, C. Lecouvey, and N. Sillon, “Low Electrical Resistance Silicon Through Vias: Technology and Characterization”, IEEE/ECTC Proceedings, 2006, pp. 1360–1366.

    Google Scholar 

  37. Khan, N., V. Rao, S. Lim, H. We, V. Lee, X. Zhang, E. Liao, R. Nagarajan, T. C. Chai, V. Kripesh, and J. H. Lau, “Development of 3-D Silicon Module With TSV for System in Packaging”, IEEE Proceedings of Electronic, Components & Technology Conference, Orlando, FL, May 27–30, 2008, pp. 550-555. Also, IEEE Transactions on CPMT, Vol. 33, No. 1, March 2010, pp. 3–9.

    Google Scholar 

  38. Lau, J. H., C.-J. Zhan, P.-J. Tzeng, C.-K. Lee, M.-J. Dai, H.-C. Chien, Y.-L. Chao, et al., “Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300 mm Multi-Project Wafer (MPW)”, IMAPS International Symposium on Microelectronics, October 2011, pp. 446–454. Also, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 8, No. 4, Fourth Quarter 2011, pp. 171–178.

    Google Scholar 

  39. Zhan, C., P. Tzeng, J. H. Lau, M. Dai, H. Chien1, C. Lee, S. Wu, et al., “Assembly Process and Reliability Assessment of TSV/RDL/IPD Interposer with Multi-Chip-Stacking for 3D IC Integration SiP”, IEEE/ECTC Proceedings, San Diego, CA, May 2012, pp. 548–554.

    Google Scholar 

  40. Che, F., M. Kawano, M. Ding, Y. Han, and S. Bhattacharya, “Co-design for Low Warpage and High Reliability in Advanced Package with TSV-Free Interposer (TFI)”, Proceedings of IEEE/ECTC, May 2017, pp. 853–861.

    Google Scholar 

  41. Hou, S., W. Chen, C. Hu, C. Chiu, K. Ting, T. Lin, W. Wei, W. Chiou, V. Lin, V. Chang, C. Wang, C. Wu, and D. Yu, “Wafer-Level Integration of an Advanced Logic-Memory System Through the Second-Generation CoWoS Technology”, IEEE Transactions on Electron Devices, October 2017, pp. 4071–4077.

    Google Scholar 

  42. Selvanayagam, C., J. H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T. Chai, “Nonlinear Thermal Stress/Strain Analysis of Copper Fill TSV (Through Silicon Via) and Their Flip-Chip Microbumps”, IEEE/ECTC Proceedings, May 27–30, 2008, pp. 1073–1081.

    Google Scholar 

  43. Selvanayagam, C., J. H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T. Chai, “Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps”, IEEE Transactions on Advanced Packaging, Vol. 32, No. 4, November 2009, pp. 720–728.

    Google Scholar 

  44. Lau, J. H., and G. Tang, “Thermal Management of 3D IC Integration with TSV (Through Silicon Via)”, IEEE/ECTC Proceedings, May 2009, pp. 635–640.

    Google Scholar 

  45. Lau, J. H., Y. S. Chan, and R. S. W. Lee, “3D IC Integration with TSV Interposers for High-Performance Applications”, Chip Scale Review, Vol. 14, No. 5, September/October, 2010, pp. 26–29.

    Google Scholar 

  46. Lau, J. H., “TSV Manufacturing Yield and Hidden Costs for 3D IC Integration”, IEEE/ECTC Proceedings, May 2010, pp. 1031–1041.

    Google Scholar 

  47. Zhang, X., T. Chai, J. H. Lau, C. Selvanayagam, K. Biswas, S. Liu, D. Pinjala, et al., “Development of Through Silicon Via (TSV) Interposer Technology for Large Die (21 × 21 mm) Fine-pitch Cu/low-k FCBGA Package”, IEEE Proceedings of ECTC, May, 2009, pp. 305–312.

    Google Scholar 

  48. Chai, T. C., X. Zhang, J. H. Lau, C. S. Selvanayagam, D. Pinjala, et al., “Development of Large Die Fine-Pitch Cu/low-k FCBGA Package with Through Silicon Via (TSV) Interposer”, IEEE Transactions on CPMT, Vol. 1, No. 5, May 2011, pp. 660–672.

    Google Scholar 

  49. Chien, H. C., J. H. Lau, Y. Chao, R. Tain, M. Dai, S. T. Wu, W. Lo, and M. J. Kao, “Thermal Performance of 3D IC Integration with Through-Silicon Via (TSV)”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 9, 2012, pp. 97–103.

    Google Scholar 

  50. Chaware, R., K. Nagarajan, and S. Ramalingam, “Assembly and Reliability Challenges in 3D Integration of 28 nm FPGA Die on a Large High-Density 65 nm Passive Interposer”, IEEE/ECTC Proceedings, May 2012, pp. 279–283.

    Google Scholar 

  51. Banijamali, B., S. Ramalingam, K. Nagarajan, and R. Chaware, “Advanced Reliability Study of TSV Interposers and Interconnects for the 28 nm Technology FPGA”, IEEE/ECTC Proceedings, May 2011, pp. 285–290.

    Google Scholar 

  52. Banijamali, B., S. Ramalingam, H. Liu, and M. Kim, “Outstanding and Innovative Reliability Study of 3D TSV Interposer and Fine-Pitch Solder Micro-Bumps”, IEEE/ECTC Proceedings, May 2012, pp. 309–314.

    Google Scholar 

  53. Banijamali, B., C. Chiu, C. Hsieh, T. Lin, C. Hu, S. Hou, et al., “Reliability Evaluation of a CoWoS-Enabled 3D IC Package”, IEEE/ECTC Proceedings, May 2013, pp. 35–40.

    Google Scholar 

  54. Xie, J., H. Shi, Y. Li, Z. Li, A. Rahman, K. Chandrasekar, et al., “Enabling the 2.5D Integration”, Proceedings of IMAPS International Symposium on Microelectronics, October 2012, pp. 254–267.

    Google Scholar 

  55. Li, L., P. Su, J. Xue, M. Brillhart, J. H. Lau, P. Tzeng, C. Lee, C. Zhan, et al., “Addressing Bandwidth Challenges in Next Generation High Performance Network Systems with 3D IC Integration”, IEEE/ECTC Proceedings, May 2012, pp. 1040–1046.

    Google Scholar 

  56. Lau, J. H., P. Tzeng, C. Zhan, C. Lee, M. Dai, J. Chen, Y. Hsin, et al., “Large Size Silicon Interposer and 3D IC Integration for System-in-Packaging (SiP)”, Proceedings of the 45th IMAPS International Symposium on Microelectronics, September 2012, pp. 1209–1214.

    Google Scholar 

  57. Wu, S. T., J. H. Lau, H. Chien, Y. Chao, R. Tain, L. Li, P. Su, et al., “Thermal Stress and Creep Strain Analyses of a 3D IC Integration SiP with Passive Interposer for Network System Application”, Proceedings of the 45th IMAPS International Symposium on Microelectronics, September 2012, pp. 1038–1045.

    Google Scholar 

  58. Chien, H., J. H. Lau, T. Chao, M. Dai, and R. Tain, “Thermal Management of Moore’s Law Chips on Both sides of an Interposer for 3D IC integration SiP”, IEEE ICEP Proceedings, Japan, April 2012, pp. 38–44.

    Google Scholar 

  59. Chien, H., J. H. Lau, T. Chao, M. Dai, R. Tain, L. Li, P. Su, et al., “Thermal Evaluation and Analyses of 3D IC Integration SiP with TSVs for Network System Applications”, IEEE/ECTC Proceedings, San Diego, CA, May 2012, pp. 1866–1873.

    Google Scholar 

  60. Ji, M., M. Li, J. Cline, D. Seeker, K. Cai, J. H. Lau, P. Tzeng, et al., “3D Si Interposer Design and Electrical Performance Study”, Proceedings of DesignCon, Santa Clara, CA, January 2013, pp. 1–23.

    Google Scholar 

  61. Wu, S. T., H. Chien, J. H. Lau, M. Li, J. Cline, and M. Ji, “Thermal and Mechanical Design and Analysis of 3D IC Interposer with Double-Sided Active Chips”, IEEE/ECTC Proceedings, Las Vegas, NA, May 2013, pp. 1471–1479.

    Google Scholar 

  62. Tzeng, P. J., J. H. Lau, C. Zhan, Y. Hsin, P. Chang, Y. Chang, J. Chen, et al., “Process Integration of 3D Si Interposer with Double-Sided Active Chip Attachments”, IEEE/ECTC Proceedings, Las Vegas, NA, May 2013, pp. 86–93.

    Google Scholar 

  63. Stow, D., Y. Xie, T. Siddiqua, and G. H. Loh, “Cost-Effective Design of Scalable High-Performance Systems Using Active and Passive Interposers”, Proceedings of IEEE/ACM International Conference on Computer-Aided Design, November 2017, pp. 728–735.

    Google Scholar 

  64. Hwang, T., D. Oh, E. Song, K. Kim, J. Kim, and S. Lee, “Study of Advanced Fan-Out Packages for Mobile Applications”, IEEE/ECTC Proceedings, May 2018, pp. 343–348.

    Google Scholar 

  65. Hong, J., K. Choi, D. Oh, S Park, S. Shao, H. Wang, Y. Niu, and V. Pham, “Design Guideline of 2.5D Package with Emphasis on Warpage Control and Thermal Management”, IEEE/ECTC Proceedings, May 2018, pp. 682–692.

    Google Scholar 

  66. You, S., S. Jeon, D. Oh, K. Kim, J. Kim, S. Cha, and G. Kim, “Advanced Fan-Out Package SI/PI/Thermal Performance Analysis of Novel RDL Packages”, IEEE/ECTC Proceedings, May 2018, pp. 1295–1301.

    Google Scholar 

  67. Miao, M., L. Wang, T. Chen, X. Duan, J. Zhang, N. Li, L. Sun, R. Fang, X. Sun, H. Liu, and Y. Jin, “Modeling and Design of a 3D Interconnect Based Circuit Cell Formed with 3D SiP Techniques Mimicking Brain Neurons for Neuromorphic Computing Applications”, IEEE/ECTC Proceedings, May 2018, pp. 490–497.

    Google Scholar 

  68. Borel, S., L. Duperrex, E. Deschaseaux, J. Charbonnier, J. Cledière, R. Wacquez, J. Fournier, J.-C. Souriau, G. Simon, and A. Merle, “A Novel Structure for Backside Protection against Physical Attacks on Secure Chips or SiP”, IEEE/ECTC Proceedings, May 2018, pp. 515–520.

    Google Scholar 

  69. Lee, E., M. Amir, S. Sivapurapu, C. Pardue, H. Torun, M. Bellaredj, M. Swaminathan, and S. Mukhopadhyay, “A System-in-Package Based Energy Harvesting for IoT Devices with Integrated Voltage Regulators and Embedded Inductors”, IEEE/ECTC Proceedings, May 2018, pp. 1720–1725.

    Google Scholar 

  70. Li, J., S. Ma, H. Liu, Y. Guan, J. Chen, Y. Jin, W. Wang, L. Hu, and S. He, “Design, Fabrication and Characterization of TSV Interposer Integrated 3D Capacitor for SiP Applications”, IEEE/ECTC Proceedings, May 2018, pp. 1968–1974.

    Google Scholar 

  71. Ki, W., W. Lee, I. Lee, I. Mok, W. Do, M. Kolbehdari, A. Copia, S. Jayaraman, C. Zwenger, and K. Lee, “Chip Stackable, Ultra-thin, High-Flexibility 3D FOWLP (3D SWIFT® Technology) for Hetero-Integrated Advanced 3D WL-SiP”, IEEE/ECTC Proceedings, May 2018, pp. 580–586.

    Google Scholar 

  72. Lee, J., C. Lee, C. Kim, and S. Kalchuri, “Micro Bump System for 2nd Generation Silicon Interposer with GPU and High Bandwidth Memory (HBM) Concurrent Integration”, IEEE/ECTC Proceedings, May 2018, pp. 607–612.

    Google Scholar 

  73. Lim, Y., X. Xiao, R. Vempati, S. Nandar, K. Aditya, S. Gaurav, T. Lim, V. Kripesh, J. Shi, J. H. Lau, and S. Liu, “High Quality and Low Loss Millimeter Wave Passives Demonstrated to 77-GHz for SiP Technologies Using Embedded Wafer-Level Packaging Platform (EMWLP)”, IEEE Transactions on Advanced Packaging, Vol. 33, 2010, pp. 1061–1071.

    Google Scholar 

  74. Manessis, D., L. Boettcher, A. Ostmann, R. Aschenbrenner, and H. Reichl, “Chip Embedding Technology Developments Leading to the Emergence of Miniaturized System-in-Packages”, Proceedings of IEEE/ECTC, May 2010, pp. 803–810.

    Google Scholar 

  75. Lau, J. H., M. S. Zhang, and S. W. R. Lee, “Embedded 3D Hybrid IC Integration System-in-Package (SiP) for Opto-Electronic Interconnects in Organic Substrates”, ASME Transactions, Journal of Electronic Packaging, Vol. 133, September 2011, pp. 1–7.

    Google Scholar 

  76. Lau, J. H., C.-J. Zhan, P.-J. Tzeng, C.-K. Lee, M.-J. Dai, H.-C. Chien, et al., “Feasibility Study of a 3D IC Integration System-in-Packaging (SiP) from a 300 mm Multi-Project Wafer (MPW)”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 8, No. 4, Fourth Quarter 2011, pp. 171–178.

    Google Scholar 

  77. Lau, J. H., and G. Y. Tang, “Effects of TSVs (through-silicon vias) on Thermal Performances of 3D IC Integration System-in-Package (SiP)”, Journal of Microelectronics Reliability, Vol. 52, Issue 11, November 2012, pp. 2660–2669.

    Google Scholar 

  78. Ahmad, M., M. Nagar, W. Xie, M. Jimarez, and C. Ryu, “Ultra Large System-in-Package (SiP) Module and Novel Packaging Solution for Networking Applications”, Proceedings of IEEE/ECTC, May 2013, pp. 694–701.

    Google Scholar 

  79. Wu, H., D. S. Gardner, C. Lv, Z. Zou, and H. Yu, “Integration of Magnetic Materials into Package RF and Power Inductors on Organic Substrates for System in Package (SiP) Applications”, Proceedings of IEEE/ECTC, May 2014, pp. 1290–1295.

    Google Scholar 

  80. Qian, R., and Y. Liu, “Modeling for Reliability of Ultra-Thin Chips in a System in Package”, Proceedings of IEEE/ECTC, May 2014, pp. 2063–2068.

    Google Scholar 

  81. Hsieh, C., C. Tsai, H. Lee, T. Lee, H. Chang, “Fan-out Technologies for WiFi SiP Module Packaging and Electrical Performance Simulation”, Proceedings of IEEE/ECTC, May 2015, pp. 1664–1669.

    Google Scholar 

  82. Li, L., P. Chia, P. Ton, M. Nagar, S. Patil, J. Xue, J. DeLaCruz, M. Voicu, J. Hellings, B. Isaacson, M. Coor, and R. Havens, “3D SiP with organic interposer of ASIC and memory integration”, Proceedings of IEEE/ECTC, May 2016, pp. 1445–1450.

    Google Scholar 

  83. Tsai, M., A. Lan, C. Shih, T. Huang, R. Chiu, S. L. Chung, J. Y. Chen, F. Chu, C. Chang, S. Yang, D. Chen, and N. Kao, “Alternative 3D Small Form Factor Methodology of System in Package for IoT and Wearable Devices Application”, Proceedings of IEEE/ECTC, May 2017, pp. 1541–1546.

    Google Scholar 

  84. Das, R., F. Egitto, S. Rosser, E. Kopp, B. Bonitz, and R. Rai, “3D Integration of System-in-Package (SiP) using Organic Interposer: Toward SiP-Interposer-SiP for High-End Electronics”, IMAPS Proceedings, September 2013, pp. 531–537.

    Google Scholar 

  85. Chien, H., C. Chien, M. Dai, R. Tain, W. Lo, Y. Lu, “Thermal Characteristic and Performance of the Glass Interposer with TGVs (Through-Glass Via)”, IMAPS Proceedings, September 2013, pp. 611–617.

    Google Scholar 

  86. Vincent, M., D. Mitchell, J. Wright, Y. Foong, A. Magnus, Z. Gong, S. Hayes, and N. Chhabra, “3D RCP Package Stacking: Side Connect, An Emerging Technology for Systems Integration and Volumetric Efficiency”, IMAPS Proceedings, September 2013, pp. 447–451.

    Google Scholar 

  87. Renaud-Bezot, N., “Size-Matters—Embedding as an Enabler of Next-Generation SiPs”, IMAPS Proceedings, September 2013, pp. 740–744.

    Google Scholar 

  88. Couderc, P., Noiray, J., and C. Val, “Stacking of Known Good Rebuilt Wafers for High Performance Memory and SiP”, IMAPS Proceedings, September 2013, pp. 804–809.

    Google Scholar 

  89. Lim, J., and V. Pandey, “Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level eWLB Technology”, IMAPS Proceedings, October 2017, pp. 263–269.

    Google Scholar 

  90. Becker, K., M. Minkus, J. Pauls, V. Bader, S. Voges, T. Braun, G. Jungmann, H. Wieser, M. Schneider-Ramelow, and K.-D., “Non-Destructive Testing for System-in-Package Integrity Analysis”, IMAPS Proceedings, October 2017, pp. 182–187.

    Google Scholar 

  91. Lee, Y., and D. Link, “Practical Application and Analysis of Lead-Free Solder on Chip-On-Flip-Chip SiP for Hearing Aids”, IMAPS Proceedings, October 2017, pp. 201–207.

    Google Scholar 

  92. Milton, B., O. Kwon, C. Huynh, I. Qin, and B. Chylak, “Wire Bonding Looping Solutions for High Density System-in-Package (SiP)”, IMAPS Proceedings, October 2017, pp. 426–431.

    Google Scholar 

  93. Morard, A., J. Riou, and G. Pares, “Flip Chip Reliability and Design Rules for SiP Module”, IMAPS Proceedings, October 2017, pp. 754–760.

    Google Scholar 

  94. Yu, D., “Wafer-Level System Integration (WLSI) Technologies for 2D and 3D System-in-Package”, SEMIEUROPE 2014.

    Google Scholar 

  95. Lin, J., J. Hung, N. Liu, Y. Mao, W. Shih, and T. Tung, “Packaged Semiconductor Device With a Molding Compound and a Method of Forming the same”. US Patent 9,000,584, Filed on December 28, 2011, Patented on April 7, 2015.

    Google Scholar 

  96. Tseng, C., Liu, C., Wu, C., and D. Yu, “InFO (Wafer Level Integrated Fan-Out) Technology”, IEEE/ECTC Proceedings, 2016, pp. 1–6.

    Google Scholar 

  97. Lau, J. H., “TSV-Less Interposers”, Chip Scale Review, Vol. 20, September/October 2016, pp. 28–35.

    Google Scholar 

  98. Lau, J. H., Chip On Board Technologies for Multichip Modules. Van Nostrand Reinhold, New York, March 1994.

    Google Scholar 

  99. Lau, J. H., 3D IC Integration and Packaging. McGraw-Hill, New York, 2016.

    Google Scholar 

  100. Lau, J. H., Through-Silicon Via (TSV) for 3D Integration. McGraw-Hill, New York, 2013.

    Google Scholar 

  101. Lau, J. H., “Semiconductor and Packaging for Internet of Things”, Chip Scale Review, Vol. 19, May/June 2015, pp. 25–30.

    Google Scholar 

  102. Moore, G., “Cramming More Components onto Integrated Circuits”, Electronics, Vol. 38, No. 8, April 19, 1965. Reprinted in IEEE Solid-State Circuits Newsletter, Vol. 11, No. 3, October 2006, pp. 33–35.

    Google Scholar 

  103. Hubner, M., and J. Becker, Multiporcessor System-on-Chip. Springer, 2011.

    Google Scholar 

  104. Lin, S., C. Hsu, Y. Hsu, F. Han, D. Ho, W. Wu, C. Chen, “De-sensitization Design and Analysis for Highly Integrated RFSoC and DRAM Stacked-Die Design”, IEEE/ECTC Proceedings, May 2018, pp. 1310–1317.

    Google Scholar 

  105. Mi, M., M. Moallem, J. Chen, M. Li, and R. Murugan, “Package Co-Design of a Fully Integrated Multimode 76–81 GHz 45 nm RFCMOS FMCW Automotive Radar Transceiver”, IEEE/ECTC Proceedings, May 2018, pp. 1054–1061.

    Google Scholar 

  106. Jokerst, N. M., “Hybrid Integrated Optoelectronics: Thin Film Devices Bonded to Host Substrates”, International Journal of High-Speed Electronics and Systems, Vol. 8, No. 2, pp. 325–356, 1997.

    Google Scholar 

  107. Vrazel, M., J. Chang, I. Song, K. Chung, M. Brooke, N. Jokerst, A. Brown, D. Wills, “Highly Alignment Tolerant InGaAs Inverted MSM Photodetector Heterogeneously Integrated on a Differential Si CMOS Receiver Operating at 1 Gbps”, IEEE/ECTC Proceedings, May 2001, pp. 1–6.

    Google Scholar 

  108. Jokerst, N. M., M. A. Brooke, S. Cho, and S. Wilkinson, M. Vrazel, S. Fike, J. Tabler, Y. Joo, S. Seo, D. Wills, and A. Brown, “The Heterogeneous Integration of Optical Interconnections Into Integrated Microsystems”, IEEE Journal of Selected Topic in Quantum Electronics, Vol. 9, No. 2, March 2003, pp. 350–360.

    Google Scholar 

  109. Lau, J. H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, et al., “Redistribution Layers (RDLs) for 2.5D/3D IC Integration”, Proceedings of IMAPS Symposium, 2013, pp. 434–441.

    Google Scholar 

  110. Lau, J. H., P. Tzeng, C. Lee, C. Zhan, M. Li, J. Cline, et al., “Redistribution Layers (RDLs) for 2.5D/3D IC Integration”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 11, No. 1, First Quarter 2014, pp. 16–24.

    Google Scholar 

  111. Lau, J. H., M. Li, N. Fan, E. Kuah, Z. Li, K. Tan, T. Chen, et al., “Fan-Out Wafer-Level Packaging (FOWLP) of Large Chip with Multiple Redistribution-Layers (RDLs)”, Proceedings of IMAPS Symposium, 2017, pp. 576–583.

    Google Scholar 

  112. Lau, J. H., M. Li, N. Fan, E. Kuah, Z. Li, K. Tan, T. Chen, et al., “Fan-Out Wafer-Level Packaging (FOWLP) of Large Chip with Multiple Redistribution-Layers (RDLs)”, IMAPS Transactions Journal of Microelectronics and Electronic Packaging, October 2017, pp. 123–131.

    Google Scholar 

  113. Lau, J. H., M. Li, Q. Li, I. Xu, T. Chen, Z. Li, K. Tan, X. Qing, C. Zhang, K. Wee, R. Beica, C. Ko, S. Lim, N. Fan, E. Kuah, K. Wu, Y. Cheung, E. Ng, X. Cao, J. Ran, H. Yang, Y. Chen, N. Lee, M. Tao, J. Lo, and R. Lee, “Design, Materials, Process, and Fabrication of Fan-Out Wafer-Level Packaging”, IEEE Transactions on CPMT, June, 2018, pp. 991–1002.

    Google Scholar 

  114. Li, M., Q. Li, J. H. Lau, N. Fan, E. Kuah, K. Wu, et al., “Characterizations of Fan-Out Wafer-Level Packaging”, Proceedings of IMAPS Symposium, October 2017, pp. 557–562.

    Google Scholar 

  115. Lim, S., Y. Liu, J. H. Lau, M. Li, “Challenges of ball-attach process using Flux for Fan-Out Wafer/Panel Level (FOWL/PLP) Packaging”, Proceedings of IWLPC, October 2017, pp. S10_P3_1–7.

    Google Scholar 

  116. Kuah, E., W. Chan, J. Hao, N. Fan, M. Li, J. H. Lau, K. Wu, et al., “Dispensing Challenges of Large Format Packaging and Some of its Possible Solutions”, IEEE/EPTC Proceedings, December 2017, pp. S27_1–6.

    Google Scholar 

  117. Hua, X., H. Xu, Z. Li, D. Chen, K. Tan, J. H. Lau, M. Li, et al., “Development of Chip-First and Die-Up Fan-Out Wafer-Level Packaging”, IEEE/EPTC Proceedings, December 2017, pp. S23_1–6.

    Google Scholar 

  118. Lau, J. H., M. Li, Y. Lei, M. Li, Q. Yong, Z. Cheng, T. Chen, I. Xu, et al., “Reliability of FOWLP with Large Chips and Multiple RDLs”, IEEE/ECTC Proceedings, May 2018, pp. 1568–1576.

    Google Scholar 

  119. Ma, S., J. Wang, F. Zhen, Z. Xiao, T. Wang, and D. Yu, “Embedded Silicon Fan-Out (eSiFO): A Promising Wafer Level Packaging Technology for Multi-chip and 3D System Integration”, IEEE/ECTC Proceedings, May 2018, pp. 1493–1498.

    Google Scholar 

  120. Chang, P., C. Hsieh, C. Chang, C. Chung, and C. Chiang, “Signal and Power Integrity Analysis of InFO Interconnect for Networking Application”, IEEE/ECTC Proceedings, May 2018, pp. 1714–1719.

    Google Scholar 

  121. Yu, C. K., W. S. Chiang, P. S. Huang, M. Z. Lin, Y. H. Fang, M. J. Lin, C. Peng, B. Lin, and M. Huang, “Reliability Study of Large Fan-Out BGA Solution on FinFET Process”, IEEE/ECTC Proceedings, May 2018, pp. 1617–1621.

    Google Scholar 

  122. Ravichandran, S., S. Yamada, G. Park, H. Chen, T. Shi, C. Buch, F. Liu, V. Smet, V. Sundaram, and R. Tummala, “2.5D Glass Panel Embedded (GPE) Packages with Better I/O Density, Performance, Cost and Reliability than Current Silicon Interposers and High-Density Fan-Out Packages”, IEEE/ECTC Proceedings, May 2018, pp. 625–630.

    Google Scholar 

  123. Kim, J., I. Choi, J. Park, J. Lee, T. Jeong, J. Byun, Y. Ko, K. Hur, D. Kim, and K. Oh, “Fan-Out Panel Level Package with Fine Pitch Pattern”, IEEE/ECTC Proceedings, May 2018, pp. 52–57.

    Google Scholar 

  124. Braun, T., K.-F. Becker, O. Hoelck, R. Kahle, M. Wöhrmann, L. Boettcher, M. Töpper, L. Stobbe, H. Zedel, R. Aschenbrenner, S. Voges, M. Schneider-Ramelow, and K.-D. Lang, “Panel Level Packaging—A View along the Process Chain”, IEEE/ECTC Proceedings, May 2018, pp. 70–78.

    Google Scholar 

  125. Lee, C., J. Su, X. Liu, Q. Wu, J. Lin, P. Lin, C. Ko, Y. Chen, W. Shen, T. Kou, S. Huang, A. Lin, Y. Lin, and K. Chen, “Optimization of Laser Release Process for Throughput Enhancement of Fan-Out Wafer-Level Packaging”, IEEE/ECTC Proceedings, May 2018, pp. 1818–1823.

    Google Scholar 

  126. Braun, T., S. Voges, M. Töpper, M. Wilke, M. Wöhrmann, U. Maaß, M. Huhn, K.-F. Becker, S. Raatz, J.-U. Kim, R. Aschenbrenner, K.-D. Lang, C. O’Connor, R. Barr, J. Calvert, M. Gallagher, E. Iagodkine, T. Aoude, and A. Politis, “Material and Process Trends for Moving From FOWLP to FOPLP”, IEEE/EPTC Proceedings, December 2015, pp. 424–429.

    Google Scholar 

  127. Braun, T., S. Raatz, U. Maass, M. Dijk, H. Walter, O. Hölck, K.-F. Becker, M. Töpper, R. Aschenbrenner, M. Wöhrmann, S. Voges, M. Huhn, K.-D. Lang, M. Wietstruck, R. Scholz, A. Mai, M. Kaynak, “Development of a Multi-Project Fan-Out Wafer Level Packaging Platform”, IEEE/ECTC Proceedings, May 2017, pp. 1–7.

    Google Scholar 

  128. Braun, T., K.-F. Becker, S. Raatz, M. Minkus, V. Bader, J. Bauer, R. Aschenbrenner, R. Kahle, L. Georgi, S. Voges, M. Wöhrmann, K.-D. Lang, “Foldable Fan-Out Wafer Level Packaging“, IEEE/ECTC Proceedings, May 2016, pp. 19–24.

    Google Scholar 

  129. Cardoso, A., R. Pinto, E. Fernandes, S. Kroehnert, “Implementation of Wafer Level Packaging KOZ using SU-8 as Dielectric for the Merging of WL Fan Out to Microfluidic and Bio-Medical Applications”, IMAPS Proceedings, October 2017, pp. 569–575.

    Google Scholar 

  130. Ishibashi, D., and Y. Nakata, “Planar Antenna for Terahertz Application in Fan Out Wafer Level Package”, IMAPS Proceedings, October 2017, pp. 599–603.

    Google Scholar 

  131. Palesko, C., and A. Lujan, “Cost Comparison of Fan-out Wafer-Level Packaging to Embedded Die Packaging”, IMAPS Proceedings, October 2017, pp. 721–726.

    Google Scholar 

  132. Pendse, R., “Semiconductor Device and Method of Forming Extended Semiconductor Device with Fan-Out Interconnect Structure to Reduce Complexity of Substrate”, filed on December 23, 2011, US 2013/0161833 A1, pub. date: June 27, 2013.

    Google Scholar 

  133. Chen, N. C., T. Hsieh, J. Jinn, P. Chang, F. Huang, J. Xiao, A. Chou, B. Lin, “A Novel System in Package with Fan-out WLP for High Speed SERDES Application”, IEEE/ECTC Proceedings, May 2016, pp. 1496–1501.

    Google Scholar 

  134. Yu, D., “Advanced System Integration Technology Trends”, SiP Global Summit, SEMICON Taiwan, September 6, 2018.

    Google Scholar 

  135. Wang, C.-T., T.-C. Tang, C.-W. Lin, C.-W. Hsu, J.-S. Hsieh, C.-H. Tsai, K.-C. Wu, H.-P. Pu, and D. Yu, “InFO_AiP Technology for High Performance and Compact 5G Millimeter Wave System Integration”, IEEE/ECTC Proceedings, May 2018, pp. 202–207.

    Google Scholar 

  136. Lee, M., Yoo, M., Cho, J., Lee, S., Kim, J., Lee, C., Kang, D., Zwenger, C., and Lanzone, R., “Study of Interconnection Process for Fine Pitch Flip Chip”, IEEE/ECTC Proceedings, May 25–28, 2009, pp. 720–723.

    Google Scholar 

  137. Zhang, Z., J. H. Lau, C. S. Premachandran, S. Chong, L. Wai, V. Lee, T. C. Chai, V. Kripesh, et al., “Development of a Cu/Low-k Stack Die Fine Pitch Ball Grid Array (FBGA) Package for System in Package Applications”, IEEE Transactions on CPMT, Vol. 1, No. 3, March 2011, pp. 299–309.

    Google Scholar 

  138. Choi, W., C. Premachandran, C. Ong, L. Xie, E. Liao, A. Khairyanto, B. Ratmin, K. Chen, P. Thaw, and J. H. Lau, “Development of Novel Intermetallic Joints Using Thin Film Indium Based Solder by Low Temperature Bonding Technology for 3D IC Stacking”, IEEE/ECTC Proceedings, May 2009, pp. 333–338.

    Google Scholar 

  139. Yu, A., J. H. Lau, S. Ho, A. Kumar, W. Hnin, W. Lee, M. Jong, V. Sekhar, V. Kripesh, D. Pinjala, S. Chen, C. Chan, C. Chao, C. Chiu, C. Huang, and C. Chen, “Fabrication of High Aspect Ratio TSV and Assembly with Fine-Pitch Low-Cost Solder Microbump for Si Interposer Technology with High-Density Interconnects”, IEEE Transactions on CPMT, Vol. 1, No. 9, September 2011, pp. 1336–1344.

    Google Scholar 

  140. Yu, A., J. H. Lau, Ho, S., Kumar, A., Yin, H., Ching, J., Kripesh, V., Pinjala, D., Chen, S., Chan, C., Chao, C., Chiu, C., Huang, M., and Chen, C., “Three Dimensional Interconnects with High Aspect Ratio TSVs and Fine Pitch Solder Microbumps”, IEEE Proceedings of ECTC, May 2009, pp. 350–354.

    Google Scholar 

  141. Lim, S., V. Rao, H. Yin, W. Ching, V. Kripesh, C. Lee, J. H. Lau, J. Milla, and A. Fenner, “Process Development and Reliability of Microbumps”, IEEE/EPTC Proceedings, December 2008, pp. 367–372.

    Google Scholar 

  142. Lim, S., V. Rao, W. Hnin, W. Ching, V. Kripesh, C. Lee, J. H. Lau, J. Milla, and A. Fenner, “Process Development and Reliability of Microbumps”, IEEE Transactions on CPMT, Vol. 33, No. 4, December 2010, pp. 747–753.

    Google Scholar 

  143. Kagawa, Y., N. Fujii, K. Aoyagi, Y. Kobayashi, S. Nishi, N. Todaka, et al., “Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding”, IEEE/IEDM Proceedings, December 2016, pp. 8.4.1–4.

    Google Scholar 

  144. Sukegawa, S., T. Umebayashi, T. Nakajima, H. Kawanobe, K. Koseki, I. Hirota, et al., “A 1/4-inch 8Mpixel Back-Illuminated Stacked CMOS Image Sensor”, Proceedings of IEEE/ISSCC, February 2013, pp. 484–484.

    Google Scholar 

  145. Coudrain, P., D. Henry, A. Berthelot, J. Charbonnier, S. Verrun, R. Franiatte, N. Bouzaida, et al., “3D Integration of CMOS Image Sensor with Coprocessor Using TSV last and Micro-Bumps Technologies”, Proceedings of IEEE/ECTC, Las Vegas, NV, May 2013, pp. 674–682.

    Google Scholar 

  146. Zhang, R., R. Lee, D. Xiao, and H. Chen, “LED Packaging using Silicon Substrate with Cavities for Phosphor Printing and Copper-filled TSVs for 3D Interconnection”, Proceeding of IEEE/ECTC, Orlando, FL, May 2011, pp. 1616–1621.

    Google Scholar 

  147. Zhang, R., and R. Lee, “Moldless Encapsulation for LED Wafer Level Packaging using Integrated DRIE Trenches”, Journal of Microelectronics Reliability, Vol. 52, 2012, pp. 922–932.

    Google Scholar 

  148. Chen, D., L. Zhang, Y. Xie, K. Tan, and C. Lai, “A Study of Novel Wafer Level LED Package Based on TSV Technology”, IEEE Proceedings on ICEPT, August 2012, pp. 52–55.

    Google Scholar 

  149. Xie, Y., D. Chen, L. Zhand, K. Tan, and C. Lai, “A Novel Wafer Level Packaging for White Light LED”, IEEE Proceedings on ICEPT, August 2013, pp. 1170–1174.

    Google Scholar 

  150. Sekhar, V., J. Toh, J. Cheng, J. Sharma, S. Fernando, and B. Chen, “Wafer Level Packaging of RF MEMS Devices Using TSV Interposer Technology”, Proceedings of IEEE/EPTC, Singapore, December 2012, pp. 239–243.

    Google Scholar 

  151. Chen, B., V. Sekhar, C. Jin, Y. Lim, J. Toh, S. Fernando, and J. Sharma, “Low-Loss Broadband Package Platform With Surface Passivation and TSV for Wafer-Level Packaging of RF-MEMS Devices”, IEEE Transactions on CPMT, Vol. 3, No. 9, September 2013, pp. 1443–1452.

    Google Scholar 

  152. Pham, N., V. Cherman, B. Vandevelde, P. Limaye, N. Tutunjyan, R. Jansen, N. Hoovels, et al., “Zerolevel Packaging for (RF-)MEMS Implementing TSVs and Metal Bonding”, Proceedings of IEEE/ECTC, May 2011, 1588–1595.

    Google Scholar 

  153. Pham, N., V. Cherman, N. Tutunjyan, L. Teugels, D. Teacan, and H. Tilmans, “Process Challenges in 0-level Packaging Using 100 μm-thin Chip Cappin with TSV”, Proceedings of IMAPS International Symposium on Microelectronics, September 2012, San Diego, CA, pp. 276–282.

    Google Scholar 

  154. Zoschke, K., C.-A. Manier, M. Wilke, N. Jurgensen, H. Oppermann1, D. Ruffieux, J. Dekker, et al., “Hermetic Wafer Level Packaging of MEMS Components Using Through Silicon Via and Wafer to Wafer Bonding Technologies”, Proceedings of IEEE/ECTC, May 2013, Las Vegas, NV, pp. 1500–1507.

    Google Scholar 

  155. Premachandran, C. S., J. H. Lau, X. Ling, A. Khairyanto, K. Chen, and M. Pa, “A Novel, Wafer-level Stacking Method for Low-chip Yield and Non-uniform, Chip-size Wafers for MEMS and 3D SiP Applications”, IEEE/ECTC Proceedings, Orlando, FL, May 27–30, 2008, pp. 314–318.

    Google Scholar 

  156. Pang, W., R. Ruby, R. Parker, P. W. Fisher, M. A. Unkrich, and J. D. Larson, III, “A Temperature-Stable Film Bulk Acoustic Wave Oscillator,” IEEE Electron Device Letters, Vol. 29, No. 4, April 2008, pp. 315–318.

    Google Scholar 

  157. Small, M., R. Ruby, S. Ortiz, R. Parker, F. Zhang, J. Shi, and B. Otis, “Wafer-Scale Packaging For FBARBased Oscillators”, Proceedings of IEEE International Joint Conference of FCS, 2011, pp. 1–4.

    Google Scholar 

  158. Lau, J. H., Y. Lim, T. Lim, G. Tang, K. Houe, X. Zhang, P. Ramana, et al., “Design and Analysis of 3D Stacked Optoelectronics on Optical Printed Circuit Boards”, Proceedings of SPIE, Photonics Packaging, Integration, and Interconnects VIII, Vol. 6899, San Jose, CA, January 19–24, 2008, pp. 07.1–07.20.

    Google Scholar 

  159. Lim, T. G., B. Lee, T. Shioda, H. Kuruveettil, J. Li, K. Suzuki, J. H. Lau, et al., “Demonstration of High Frequency Data link on FR4 PCB Using Optical Waveguides”, IEEE Transactions of Advanced Packaging, Vol. 32, May 2009, pp. 509–516.

    Google Scholar 

  160. Chai, J., G. Yap, T. Lim, C. Tan, Y. Khoo, C. Teo, J. H. Lau, et al., “Electrical Interconnect Design Optimization for Fully Embedded Board-level Optical Interconnects”, IEEE/EPTC Proceedings, December 2008, pp. 1126–1130.

    Google Scholar 

  161. Lim, L, C. Teo, H. Yee, C. Tan, O. Chai, Y. Jie, J. H. Lau, et al., “Optimization and Characterization of Flexible Polymeric Optical Waveguide Fabrication Process for Fully Embedded Board-level Optical Interconnects”, IEEE/EPTC Proceedings, December 2008, pp. 1114–1120.

    Google Scholar 

  162. Teo, C., W. Liang, H. Yee, L. Lim, C. Tan, J. Chai, J. H. Lau, et al., “Fabrication and Optimization of the 45° Micro-mirrors for 3-D Optical Interconnections”, IEEE/EPTC Proceedings, December 2009, pp. 1121–1125.

    Google Scholar 

  163. Chang, C., J. Chang, J. H. Lau, A. Chang, T. Tang, S. Chiang, M. Lee, et al., “Fabrication of Fully Embedded Board-Level Optical Interconnects and Optoelectronic Printed Circuit Boards”, IEEE/EPTC Proceedings, December 2009, pp. 973–976.

    Google Scholar 

  164. Lau, J. H., S. W. Lee, M. Yuen, J. Wu, J. Lo, H. Fan, and H. Chen, “Apparatus Having an Embedded 3D Hybrid Integration for Optoelectronic Interconnects in Organic Substrate”. US Patent No: 9,057,853, Date of Patent: June 16, 2015.

    Google Scholar 

  165. Lau, J. H., M. S. Zhang, and S. W. R. Lee, “Embedded 3D Hybrid IC Integration System-in-Package (SiP) for Opto-Electronic Interconnects in Organic Substrates”, ASME Paper IMECE2010-40974.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John H. Lau .

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lau, J.H. (2019). Overview of Heterogeneous Integrations. In: Heterogeneous Integrations. Springer, Singapore. https://doi.org/10.1007/978-981-13-7224-7_1

Download citation

  • DOI: https://doi.org/10.1007/978-981-13-7224-7_1

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-13-7223-0

  • Online ISBN: 978-981-13-7224-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics