Skip to main content

Nanoimprint Lithography

  • Reference work entry
Springer Handbook of Nanotechnology

Part of the book series: Springer Handbooks ((SHB))

Abstract

Nanoimprint lithography is an emerging nanopatterning method, combining nanometer-scale resolution and high throughput. In a top-down approach, a rigid stamp with a surface relief is pressed into a thin film of soft material on a hard substrate. The film is hardened before the stamp is retrieved, and the surface relief is copied into the thin film. A pattern with nano- to micrometer scale features can be replicated in a parallel process, and the stamp may be reused many times. This makes nanoimprint lithography a promising technique for volume manufacturing of nanostructured components. At present, structures with feature sizes down to 5 nm have been realized, and the resolution is limited by the ability to manufacture the stamp relief. For historical reasons, the term nanoimprint lithography (NIL) refers to a hot embossing process, where a thin film of thermoplastic material is softened by heating it, and the embossed film is hardened again when it is cooled down. In ultraviolet (UV)-NIL, a photo-polymerizable resin is used together with a UV-transparent stamp. The resin is liquid at room temperature, allowing easy embossing of the stamp, before the resin is hardened by UV exposure. In this chapter we will give an overview of nanoimprint lithography, with emphasis on NIL. Material aspects of stamps and resists are discussed. Thin-film rheology plays a central role of the understanding of the nanoimprint process, since the resist is patterned by mechanical deformation. We discuss specific applications where imprint methods have significant advantages over other structuring methods. We conclude by discussing the areas where further development in this field is required.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Abbreviations

AFM:

atomic force microscopy

CD:

compact disc

CD:

critical dimension

CMOS:

complementary metal oxide semiconductor

CVD:

chemical vapor deposition

DNA:

deoxyribonucleic acid

DVD:

digital versatile disk

HDD:

hard disk drive

HF:

hydrofluoric acid

LFM:

lateral force microscopy

MEMS:

microelectromechanical system

MFM:

magnetic force microscopy

PC:

polycarbonate

PDMS:

polydimethylsiloxane

PMMA:

polymethylmethacrylate

PS:

polystyrene

PTFE:

polytetrafluoroethylene

RIE:

reactive ion etching

SEM:

scanning electron microscopy

SFIL:

step-and-flash imprint lithography

TMR:

track misregistration

TV:

television

References

  1. E. Berliner: Gramophone, US Patent 372786 (1887) http://www.audioannals.com/berlinere.htm, accessed April 4, 2005

  2. E. Berliner: Process for producing records of sound, US Patent 382790 (1888) http://www.audioannals.com/berlinere.htm, accessed April 4, 2005.

  3. K. C. Pohlmann: The Compact Disc Handbook, Computer Music and Digital Audio Series, Vol. 5, 2nd edn. (A-R Editions, Middleton, Wisconsin 1992)

    Google Scholar 

  4. H. Schift, C. David, M. Gabriel, J. Gobrecht, L. J. Heyderman, W. Kaiser, S. Köppel, L. Scandella: Nanoreplication in polymers using hot embossing and injection molding, Microelectron. Eng. 53, 171–174 (2000)

    CAS  Google Scholar 

  5. S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, L. Zhuang: Sub-10 nm imprint lithography and applications, J. Vac. Sci. Technol. B 15, 2897–2904 (1997)

    CAS  Google Scholar 

  6. S. Y. Chou, P. R. Krauss: Imprint lithography with sub-10 nm feature size and high throughput, Microelectron. Eng. 35, 237–240 (1997)

    CAS  Google Scholar 

  7. R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998)

    Google Scholar 

  8. Y. Xia, G. M. Whitesides: Soft lithography, Angew. Chem. Int. 37, 550–575 (1998)

    CAS  Google Scholar 

  9. B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H. Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf: Printing meets lithography: Soft approaches to high-resolution, IBM J. Res. Dev. 45(5), 697–719 (2001)

    CAS  Google Scholar 

  10. W. Menz, J. Mohr, O. Paul: Microsystem Technology (Wiley-VCH, Weinheim 2001)

    Google Scholar 

  11. C. Sotomayor Torres: Alternative Lithography—Unleashing the Potential of Nanotechnology, Nanostructure Science and Technology, ed. by D. J. Lockwood (Kluwer Academic, Plenum Publishers, New York 2003)

    Google Scholar 

  12. International Technology Roadmap for Semiconductors web site http://public.itrs.net/, accessed April 4, 2005

  13. H. Moore: Cramming more components onto integrated circuits with unit cost falling as the number of components per circuit rises, Electronics 38(8), 114–117 (1965)

    Google Scholar 

  14. S. Okazaki: Resolution limits of optical lithography, J. Vac. Sci. Technol. B 9(6), 2829–2833 (1991)

    CAS  Google Scholar 

  15. R. Compaño (ed): European Commission IST programme, Future and Emerging Technologies, Technology Roadmap for Nanoelectronics, 2nd edn. (Office for Official Publications of the European Commission, Luxembourg 2001)

    Google Scholar 

  16. S. Y. Chou, P. R. Krauss, P. J. Renstrom: Imprint of sub-25 nm vias and trenches in polymers, Appl. Phys. Lett. 67(21), 3114–3116 (1995)

    CAS  Google Scholar 

  17. S. Y. Chou, P. R. Krauss, P. J. Renstrom: Imprint lithography with 25-nanometer resolution, Science 272, 85–87 (1996)

    CAS  Google Scholar 

  18. S. Y. Chou, P. R. Krauss, P. J. Renstrom: Nanoimprint lithography, J. Vac. Sci. Technol. B 14(6), 4129–4133 (1996)

    CAS  Google Scholar 

  19. S. Y. Chou: Nanoimprint lithography, US Patent 5772905 (1995)

    Google Scholar 

  20. L. Baraldi, R. Kunz, J. Meissner: High-precision molding of integrated optical structures, Proc. SPIE 1992, 21–29 (1993)

    CAS  Google Scholar 

  21. R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998)

    Google Scholar 

  22. L. J. Heyderman, H. Schift, C. David, J. Gobrecht, T. Schweizer: Flow behaviour of thin polymer films used for hot embossing lithography, Microelectron. Eng. 54, 229–245 (2000)

    CAS  Google Scholar 

  23. J. Haisma, M. Verheijen, K. van den Heuvel, J. van den Berg: Mold-assisted lithography: A process for reliable pattern replication, J. Vac. Sci. Technol. B 14, 4124–4128 (1996)

    CAS  Google Scholar 

  24. M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michealson, S. V. Sreenivasan, J. Ekerdt, C. G. Willson: Step and flash imprint lithography: A new approach to high-resolution patterning, Proc. SPIE 3676, 379–389 (1999)

    CAS  Google Scholar 

  25. D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey, S. Johnson, N. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, N. Schumaker: Imprint lithography: Lab curiosity or the real NGL?, Proc. SPIE 5037, 12–23 (2003)

    CAS  Google Scholar 

  26. D. J. Resnick, S. V. Sreenivasan, C. G. Willson: Step & flash imprint lithography, Mater. Today 8, 34–42 (2005)

    CAS  Google Scholar 

  27. M. Doi: Introduction to Polymer Physics (Clarendon, Oxford 1996)

    Google Scholar 

  28. D. W. van Krevelen: Properties of Polymers (Elsevier, Amsterdam 1990)

    Google Scholar 

  29. H. Schift, L. J. Heyderman: Nanorheology—squeezed flow in hot embossing of thin films. In: Alternative Lithography, Nanostructure science and technology, ed. by C. Sotomayor Torres (Kluwer Plenum, New York 2003) pp. 46–76

    Google Scholar 

  30. H.-C. Scheer, H. Schulz, T. Hoffmann, C. M. Sotomayor Torres: Nanoimprint techniques. In: Handbook of Thin Film Materials, Vol. 5, ed. by H. S. Nalva (Academic, New York 2002) Chap. 1, pp. 1–60

    Google Scholar 

  31. M. D. Austin, H. Ge, W. Wu, M. Li, Z. Yu, D. Wasserman, S. A. Lyon, S. Y. Chou: Fabrication of 5 nm linewidth and 14 nm pitch features by nanoimprint lithography, Appl. Phys. Lett. 84(26), 5299–5301 (2004)

    CAS  Google Scholar 

  32. E. A. Dobisz, S. L. Brandow, R. Bass, J. Mitterender: Effects of molecular properties on nanolithography in polymethyl methacrylate, J. Vac. Sci. Technol. B 18, 107–111 (2000)

    CAS  Google Scholar 

  33. A. Olzierski, I. Raptis: Development and molecular-weight issues on the lithographic performance of poly-(methyl methacrylate), Microelectron. Eng. 73–74, 244–251 (2004)

    Google Scholar 

  34. M. Khoury, D. K. Ferry: Effect of molecular weight on poly(methyl-methacrylate) resolution, J. Vac. Sci. Technol. B 14, 75–79 (1996)

    CAS  Google Scholar 

  35. L. J. Fetters, D. J. Lohse, D. Richter, T. A. Witten, A. Zirkel: Connection between polymer molecular weight, density, chain dimensions, and melt viscoelastic properties, Macromolecules 27, 4639–4647 (1994)

    CAS  Google Scholar 

  36. C. B. Roth, J. R. Dutcher: Mobility on different length scales in thin polymer films. In: Soft Materials: Structure and Dynamics, ed. by J. R. Dutcher, A. G. Marangoni (Dekker, New York 2004)

    Google Scholar 

  37. J. N. D'Amour, U. Okoroanyanwu, C. W. Frank: Influence of substrate chemistry on the properties of ultrathin polymer films, Microelectron. Eng. 73–74, 209–217 (2004)

    Google Scholar 

  38. R. B. Bird, C. F. Curtis, R. C. Armstrong, O. Hassager: Dynamics of Polymeric Liquids, Vol. 1, Fluid Mechanics (Wiley, New York 1987)

    Google Scholar 

  39. L. G. Baraldi: Heißprägen in Polymeren für die Herstellung integriert-optischer Systemkomponenten. Ph.D. Thesis (ETH Zürich, Zürich 1994)

    Google Scholar 

  40. M. J. Stefan: Parallel Platten Rheometer, Akad Wiss. Math.-Natur., Wien 2(69), 713–735 (1874)

    Google Scholar 

  41. J.-H. Jeong, Y.-S. Choi, Y.-J. Shin, J.-J. Lee, K.-T. Park, E.-S. Lee, S.-R. Lee: Flow behavior at the embossing stage of nanoimprint lithography, Fibers Polym. 3(3), 113–119 (2002)

    CAS  Google Scholar 

  42. H. Schift, S. Park, J. Gobrecht: Nano-Imprint—molding resists for lithography, J. Photopolym. Sci. Technol. (Japan) 16(3), 435–438 (2003)

    CAS  Google Scholar 

  43. H.-C. Scheer, H. Schulz, T. Hoffmann, C. M. Sotomayor Torres: Problems of the nanoimprinting technique for nanometer scale pattern definition, J. Vac. Sci. Technol. B 16, 3917–3921 (1998)

    CAS  Google Scholar 

  44. H.-C. Scheer, H. Schulz: A contribution to the flow behaviour of thin polymer films during hot embossing lithography, Microelectron. Eng. 56, 311–332 (2001)

    CAS  Google Scholar 

  45. L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004)

    CAS  Google Scholar 

  46. C. Gourgon, C. Perret, G. Micouin, F. Lazzarino, J. H. Tortai, O. Joubert, J.-P. E. Grolier: Influence of pattern density in nanoimprint lithography, J. Vac. Sci. Technol. B 21(1), 98–105 (2003)

    CAS  Google Scholar 

  47. A. Lebib, Y. Chen, J. Bourneix, F. Carcenac, E. Cambril, L. Couraud, H. Launois: Nanoimprint lithography for a large area pattern replication, Microelectron. Eng. 46, 319–322 (1999)

    Google Scholar 

  48. C. Gourgon, J. H. Tortai, F. Lazzarino, C. Perret, G. Micouin, O. Joubert, S. Landis: Influence of residual solvent in polymers patterned by nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 602–606 (2004)

    CAS  Google Scholar 

  49. Y. Hirai, M. Fujiwara, T. Okuno, Y. Tanaka, M. Endo, S. Irie, K. Nakagawa, M. Sasago: Study of the resist deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 19(6), 2811–2815 (2001)

    CAS  Google Scholar 

  50. Y. Hirai, T. Konishi, T. Yoshikawa, S. Yoshida: Simulation and experimental study of polymer deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3288–3293 (2002)

    Google Scholar 

  51. H. D. Rowland, W. P. King: Polymer deformation and filling modes during microembossing, J. Micromech. Microeng. 14, 1625 (2004)

    CAS  Google Scholar 

  52. S. Zankovych, T. Hoffmann, J. Seekamp, J.-U. Bruch, C. M. Sotomayor Torres: Nanoimprint lithography: Challenges and prospects, Nanotechnology 12(2), 91–95 (2001)

    CAS  Google Scholar 

  53. M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T. G. I. Ling, M. Keil, L. Montelius: Improving stamps for 10 nm level wafer scale nanoimprint, lithography, Microelectron. Eng. 61–62, 441–448 (2002)

    Google Scholar 

  54. D.-Y. Khang, H. H. Lee: Room-temperature imprint lithography by solvent vapor treatment, Appl. Phys. Lett. 76(7), 870–872 (2000)

    CAS  Google Scholar 

  55. D.-Y. Khang, H. Yoon, H. H. Lee: Room-temperature imprint lithography, Adv. Mater. 13(10), 749–751 (2001)

    CAS  Google Scholar 

  56. D.-Y. Khang, H. Kang, T.-I. Kim, H. H. Lee: Low-pressure nanoimprint lithography, Nanoletters 4(4), 633–637 (2004)

    CAS  Google Scholar 

  57. L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004)

    CAS  Google Scholar 

  58. H. Lee, G. Y. Jung: Full wafer scale near zero residual nano-imprinting lithography using UV curable monomer solution, Microelectron. Eng. 77(1), 42–47 (2005)

    CAS  Google Scholar 

  59. L. Tan, Y. P. Kong, S. W. Pang, A. F. Yee: Imprinting of polymer at low temperature and pressure, J. Vac. Sci. Technol. B 22(5), 2486–2492 (2004)

    CAS  Google Scholar 

  60. C. Finder, C. Mayer, H. Schulz, H.-C. Scheer, M. Fink, K. Pfeiffer: Non-contact fluorescence measurements for inspection and imprint depth control in nanoimprint lithography, Proc. SPIE 4764, 218–223 (2002)

    CAS  Google Scholar 

  61. D. Jucius, V. Grigaliunas, A. Guobiene: Rapid evaluation of imprint quality using optical scatterometry, Microelectron. Eng. 71, 190–196 (2004)

    CAS  Google Scholar 

  62. A. Fuchs, B. Vratzov, T. Wahlbrink, Y. Georgiev, H. Kurz: Interferometric in situ alignment for UV-based nanoimprint, J. Vac. Sci. Technol. B 22(6), 3242–3245 (2002)

    Google Scholar 

  63. Z. Yu, H. Gao, S. Y. Chou: In situ real time process characterisation in nanoimprint lithography using time-resolved diffractive scatterometry, Appl. Phys. Lett. 85(18), 4166–4168 (2004)

    CAS  Google Scholar 

  64. F. Lazzarino, C. Gourgon, P. Schiavone, C. Perret: Mold deformation in nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3318–3322 (2002)

    Google Scholar 

  65. C. Perret, C. Gourgon, F. Lazzarino, J. Tallal, S. Landis, R. Pelzer: Characterization of 8-in. wafers printed by nanoimprint lithography, Microelectron. Eng. 73–74, 172–177 (2004)

    Google Scholar 

  66. C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis, O. Joubert, R. Pelzer: Uniformity across 200 mm silicon wafers printed by nanoimprint lithography, J. Phys. D: Appl. Phys. 38, 70–73 (2005)

    CAS  Google Scholar 

  67. U. Plachetka, M. Bender, A. Fuchs, B. Vratzov, T. Glinsner, F. Lindner, H. Kurz: Wafer scale patterning by soft UV-nanoimprint lithography, Microelectron. Eng. 73–74, 167–171 (2004)

    Google Scholar 

  68. N. Roos, M. Wissen, T. Glinsner, H.-C. Scheer: Impact of vacuum environment on the hot embossing process, Proc. SPIE 5037, 211–218 (2003)

    CAS  Google Scholar 

  69. D. Pisignano, A. Melcarne, D. Mangiullo, R. Cingolani, G. Gigli: Nanoimprint lithography of chromophore molecules under high-vacuum conditions, J. Vac. Sci. Technol. B 22(1), 185–188 (2004)

    CAS  Google Scholar 

  70. H. Schift, L. J. Heyderman, M. auf der Maur, J. Gobrecht: Pattern formation in hot embossing of thin polymer films, Nanotechnology 12, 173–177 (2001)

    CAS  Google Scholar 

  71. S. Y. Chou, L. Zhuang: Lithographically induced self-assembly of periodic polymer micropillar arrays, J. Vac. Sci. Technol. B 17, 3197–3202 (1999)

    CAS  Google Scholar 

  72. S. Y. Chou, L. Zhuang, L. J. Guo: Lithographically induced self-construction of polymer microstructures for resistless patterning, Appl. Phys. Lett. 75, 1004–1006 (1999)

    CAS  Google Scholar 

  73. L. Wu, S. Y. Chou: Electrohydrodynamic instability of a thin film of viscoelastic polymer underneath a lithographically manufactured mask, J. Non-Newtonian Fluid Mech. 125, 91–99 (2005)

    CAS  Google Scholar 

  74. E. Schäffer, T. Thurn-Albrecht, T. P. Russell, U. Steiner: Electrically induced structure formation and pattern transfer, Nature 403, 874–877 (2000)

    Google Scholar 

  75. E. Schäffer, T. Thurn-Albrecht, T. P. Russell, U. Steiner: Method and apparatus for forming submicron patterns on films. US Patent Registration 07880075001 (1999)

    Google Scholar 

  76. E. Schäffer, U. Steiner: Methods and apparatus for the formation of patterns in films using temperature gradients. European Patent Application PCT 124205.6 (2000)

    Google Scholar 

  77. K. Y. Suh, H. H. Lee: Capillary force lithography: large-area patterning, self-organization, and anisotropic dewetting, Adv. Funct. Mater. 12(6,7), 405–413 (2002)

    CAS  Google Scholar 

  78. Y. Hirai, S. Yoshida, N. Takagi: Defect analysis in thermal nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2765–2770 (2003)

    CAS  Google Scholar 

  79. Y. Hirai, T. Yoshikawa, N. Takagi, S. Yoshida: Mechanical properties of poly-methyl methacrylate (PMMA) for nanoimprint lithography, J. Photopolym. Sci. Technol. (Japan) 16(4), 615–620 (2003)

    CAS  Google Scholar 

  80. M. Colburn, I. Suez, B. J. Choi, M. Meissl, T. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Technol. B 19(6), 2685–2689 (2001)

    CAS  Google Scholar 

  81. D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey, S. Johnson, N. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, N. Schumaker: Imprint lithography for integrated circuit fabrication, J. Vac. Sci. Technol. B 21(6), 2624–2631 (2003)

    CAS  Google Scholar 

  82. M. Otto, M. Bender, B. Hadam, B. Spangenberg, H. Kurz: Characterization and application of a UV-based imprint technique, Microelectron. Eng. 57–58, 361–366 (2001)

    Google Scholar 

  83. B. Vratzov, A. Fuchs, M. Lemme, W. Henschel, H. Kurz: Large scale ultraviolet-based nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2760–2764 (2003)

    CAS  Google Scholar 

  84. M. Komuro, J. Taniguchi, S. Inoue, N. Kimura, Y. Tokano, H. Hiroshima, S. Matsui: Imprint characteristics by photo-induced solidification of liquid polymer, Jpn. J. Appl. Phys. 39, 7075–7079 (2000)

    CAS  Google Scholar 

  85. H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000)

    CAS  Google Scholar 

  86. H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer, G. Bleidiessel, G. Grützner, J. Ahopelto: Master replication into thermosetting polymers for nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582–3585 (2000)

    CAS  Google Scholar 

  87. K. Pfeiffer, M. Fink, G. Bleidiessel, G. Gruetzner, H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, F. Gaboriau, C. Cardinaud: Novel linear and crosslinking polymers for nanoimprinting with high etch resistance, Microelectron. Eng. 53, 411–414 (2000)

    CAS  Google Scholar 

  88. S. Rudschuck, D. Hirsch, K. Zimmer, K. Otte, A. Braun, R. Mehnert, F. Bigl: Replication of 3-D-micro- and nanostrucutures using different UV-curable polymers, Microelectron. Eng. 53, 557–560 (2000)

    CAS  Google Scholar 

  89. M. Sagnes, L. Malaquin, F. Carcenac, C. Vieu, C. Fournier: Imprint lithography using thermo-polymerisation of MMA, Microelectron. Eng. 61–62, 429–433 (2002)

    Google Scholar 

  90. A. Abdo, S. Schuetter, G. Nellis, A. Wei, R. Engelstad, V. Truskett: Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithography, J. Vac. Sci. Technol. 22(6), 3279–3282 (2002)

    Google Scholar 

  91. Y. Hirai, H. Kikuta, T. Sanou: Study on optical intensity distribution in photocuring nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2777–2782 (2003)

    CAS  Google Scholar 

  92. C.-H. Chang, R. K. Heilmann, R. C. Fleming, J. Carter, E. Murphy, M. L. Schattenburg, T. C. Bailey, J. G. Ekerdt, R. D. Frankel, R. Voisin: Fabrication of sawtooth diffraction gratings using nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2755–2759 (2003)

    CAS  Google Scholar 

  93. P. R. Krauss, S. Y. Chou: Nano-compact disks with 400 Gbit/in2 storage density fabricated using nanoimprint lithography and read with proximal probe, Appl. Phys. Lett. 71(21), 3174–3176 (1997)

    CAS  Google Scholar 

  94. W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou: Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3825 (1998)

    CAS  Google Scholar 

  95. R. W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41–42, 575–578 (1998)

    Google Scholar 

  96. H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005)

    CAS  Google Scholar 

  97. M. Hartney, D. Hess, D. Soane: Oxygen plasma etching for resist stripping and multilayer lithography, J. Vac. Sci. Technol. B 7, 1–13 (1989)

    CAS  Google Scholar 

  98. W. Pilz, J. Janes, K. P. M. Müller, J. Pelka: Oxygen reactive ion etching of polymers—profile evolution and process mechanisms, Proc. SPIE 1392, 84–94 (1990)

    Google Scholar 

  99. B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: Large scale nanolithography using imprint lithography, J. Vac. Sci. Technol. B 17, 2961–2964 (1999)

    CAS  Google Scholar 

  100. D. Lyebyedyev, H.-C. Scheer: Mask definition by nanoimprint lithography, Proc. SPIE 4349, 82–85 (2001)

    CAS  Google Scholar 

  101. X.-M. Yan, S. Kwon, A. M. Contreras, J. Bokor, G. A. Somorjai: Fabrication of large number density platinum nanowire arrays by size reduction lithography and nanoimprint lithography, Nanoletters web-publication, (web access April 2005) (2005)

    Google Scholar 

  102. L. J. Heyderman, B. Ketterer, D. Bächle, F. Glaus, B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tiefenauer, O. Dubochet, P. Surbled, T. Hessler: High volume fabrication of customised nanopore membrane chips, Microelectron. Eng. 67–68, 208–213 (2003)

    Google Scholar 

  103. L. J. Heyderman, H. Schift, C. David, B. Ketterer, M. auf der Maur, J. Gobrecht: Nanofabrication using hot embossing lithography and electroforming, Microelectron. Eng. 57–58, 375–380 (2001)

    Google Scholar 

  104. H. Schift, L. J. Heyderman, C. Padeste, J. Gobrecht: Chemical nano-patterning using hot embossing lithography, Microelectron. Eng. 61–62, 423–428 (2002)

    Google Scholar 

  105. H. Schift, R. W. Jaszewski, C. David, J. Gobrecht: Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography, Microelectron. Eng. 46, 121–124 (1999)

    CAS  Google Scholar 

  106. L. Montelius, B. Heidari, M. Graczik, T. Ling, I. Maximov, E.-L. Sarwe: Large area nanoimprint fabrication of sub-100 nm interdigitated metal arrays, Proc. SPIE 3997, 442–452 (2000)

    Google Scholar 

  107. L. Montelius, B. Heidari, M. Graczyk, E.-L. Sarwe, T. G. I. Ling: Nanoimprint- and UV-lithography: mix & match process for fabrication of interdigitated nanobiosensors, Microelectron. Eng. 53, 521–524 (2000)

    CAS  Google Scholar 

  108. M. Beck, F. Persson, P. Carlberg, M. Graczyk, I. Maximov, T. G. I. Ling, L. Montelius: Nanoelectrochemical transducers for (bio-)chemical sensor applications fabricated by nanoimprint lithography, Microelectron. Eng. 73–74, 837 (2004)

    Google Scholar 

  109. H. Schift, C. Park, C. Padeste, J. Gobrecht: Nanostructuring of anti-adhesive layer by hot embossing lithography, Microelectron. Eng. 67–68, 252–258 (2003)

    Google Scholar 

  110. S. Park, S. Saxer, C. Padeste, H. H. Solak, J. Gobrecht, H. Schift: Chemical patterning of sub 50 nm half pitches via nanoimprint lithography, Microelectron. Eng. 78–79, 682–688 (2005)

    Google Scholar 

  111. D. Falconnet, D. Pasqui, S. Park, R. Eckert, H. Schift, J. Gobrecht, R. Barbucci, M. Textor: A novel approach to produce protein nanopatterns by combining nanoimprint, lithography and molecular self-assembly, Nanoletters 4(10), 1909–1914 (2004)

    CAS  Google Scholar 

  112. J. D. Hoff, L.-J. Cheng, E. Meyhofer, L. J. Guo, A. J. Hunt: Nanoscale protein patterning by imprint lithography, Nanoletters 4(5), 853 (2004)

    CAS  Google Scholar 

  113. T. Schliebe, G. Schneider, H. Aschoff: Nanostructuring high resolution phase zone plates in nickel and germanium using cross-linked polymers, Microelectron. Eng. 30, 513–516 (1996)

    CAS  Google Scholar 

  114. G. Simon, A. M. Haghiri-Gosnet, F. Carcenac, H. Launois: Electroplating: An alternative transfer technology in the 20 nm range, Microelectron. Eng. 35, 51–54 (1997)

    CAS  Google Scholar 

  115. D. Suh, J. Rhee, H. H. Lee: Bilayer reversal imprint lithography: Direct metal–polymer transfer, Nanotechnology 15, 1103–1107 (2004)

    CAS  Google Scholar 

  116. F. Reuther, K. Pfeiffer, M. Fink, G. Gruetzner, H. Schulz, H.-C. Scheer, F. Gaboriau, C. Cardinaud: Mix and match of nanoimprint and UV lithography, Proc. SPIE 4343, 802–809 (2001)

    CAS  Google Scholar 

  117. K. Pfeiffer, M. Fink, G. Gruetzner, G. Bleidiessel, H. Schulz, H.-C. Scheer: Multistep profiles by mix and match of nanoimprint and UV-lithography, Microelectron. Eng. 57–58, 381–387 (2001)

    Google Scholar 

  118. X. Cheng, L. J. Guo: A combined nanoimprint and photolithography patterning technique, Microelectron. Eng. 3–4, 277–282 (2004)

    Google Scholar 

  119. X. Cheng, L. J. Guo: One-step lithography for various size patterns with a hybrid mask-mold, Microelectron. Eng. 3–4, 288–293 (2004)

    Google Scholar 

  120. N. Kehagias, S. Zankovych, A. Goldschmidt, R. Kian, M. Zelsmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Ahrens, G. Gruetzner: Embedded polymer waveguides: design and fabrication approaches, Superlattices Microstruct. 36(1-3), 201 (2004)

    CAS  Google Scholar 

  121. W. Zhang, S. Y. Chou: Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers, Appl. Phys. Lett. 79(6), 845 (2001)

    CAS  Google Scholar 

  122. H. Schulz, M. Wissen, N. Roos, H.-C. Scheer, K. Pfeiffer, G. Gruetzner: Low-temperature wafer-scale ‘warm’ embossing for mix & match with UV-lithography, SPIE Proc. 4688, 223–231 (2002)

    CAS  Google Scholar 

  123. I. Martini, J. Dechow, M. Kamp, A. Forchel, J. Koeth: GaAs field effect transistors fabricated by imprint lithography, Microelectron. Eng. 60(3-4), 451–455 (2002)

    CAS  Google Scholar 

  124. A. P. Kam, J. Seekamp, V. Solovyev, A. Goldschmidt, C. M. Sotomayor Torres: Nanoimprinted organic field-effect transistors: Fabrication, transfer mechanism and solvent effects on device characteristics, Microelectron. Eng. 73–74, 809–813 (2004)

    Google Scholar 

  125. H. Schulz, A. S. Körbes, H.-C. Scheer, L. J. Balk: Combination of nanoimprint and scanning force lithography for local tailoring of sidewalls of nanometer devices, Microelectron. Eng. 53, 221–224 (2000)

    CAS  Google Scholar 

  126. M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, Hong-Bo Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, X-ray and two-photon lithography, Microelectron. Eng. 73–74, 535–541 (2004)

    Google Scholar 

  127. X. Sun, L. Zhuang, W. Zhang, S. Y. Chou: Multilayer resist methods for nanoimprint lithography on nonflat surfaces, J. Vac. Sci. Technol. B 16(6), 3922–3925 (1998)

    CAS  Google Scholar 

  128. F. van Delft: Bilayer resist used in e-beam lithography for deep narrow structures, Microelectron. Eng. 46, 369–373 (1999)

    Google Scholar 

  129. L. Tan, Y. P. Kong, L.-L. Bao, X. D. Huang, L. J. Guo, S. W. Pang, A. F. Yee: Imprinting polymer film on patterned substrates, J. Vac. Sci. Technol. B 21(6), 2742–2748 (2003)

    CAS  Google Scholar 

  130. B. Faircloth, H. Rohrs, R. Tiberio, R. Ruoff, R. R. Krchnavek: Bilayer nanoimprint lithography, J. Vac. Sci. Technol. B 18(4), 1866–1873 (2000)

    CAS  Google Scholar 

  131. A. Lebib, M. Natali, S. P. Li, E. Cambril, L. Manin, Y. Chen, H. M. Janssen, R. P. Sijbesma: Control of the critical dimension with a trilayer nanoimprint lithography procedure, Microelectron. Eng. 57–58, 411–416 (2001)

    Google Scholar 

  132. Y. Chen, K. Peng, Z. Cui: A lift-off process for high resolution patterns using PMMA/LOR resist stack, Microelectron. Eng. 73–74, 278–281 (2004)

    Google Scholar 

  133. P. Carlberg, M. Graczyk, E.-L. Sawe, I. Maximov, M. Beck, L. Montelius: Lift-off process for nanoimprint lithography, Microelectron. Eng. 67–68, 203–207 (2003)

    Google Scholar 

  134. W. Li, J. O. Tegenfeldt, L. Chen, R. H. Austin, S. Y. Chou, P. A. Kohl, J. Krotine, J. C. Sturm: Sacrificial polymers for nanofluidic channels in biological applications, Nanotechnology 14, 578–583 (2003)

    CAS  Google Scholar 

  135. MicroChem Corp., 1254 Chestnut Street, Newton, MA 02464, USA: http://www.microchem.com/

  136. W. Trybula: Sematech, AMRC, and Nano. Nanoprint and Nanoimprint Technology (NNT) conference, Vienna, Austria, December 2, 2004. Oral presentation

    Google Scholar 

  137. S. Johnson, D. J. Resnick, D. Mancini, K. J. Nordquist, W. J. Dauksher, K. Gehoski, J. H. Baker, L. Dues, A. Hooper, T. C. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Fabrication of multi-tiered structures on step and flash imprint lithography templates, Microelectron. Eng. 67–68, 221–228 (2003)

    Google Scholar 

  138. Y. P. Kong, H. Y. Lowa, S. W. Pang, A. F. Yee: Duo-mold imprinting of three-dimensional polymeric structures, J. Vac. Sci. Technol. B 22(6), 3251–3265 (2004)

    CAS  Google Scholar 

  139. T. Borzenko, M. Tormen, G. Schmidt, L. W. Molenkamp: Polymer bonding process for nanolithography, Appl. Phys. Lett. 79(14), 2246–2248 (2001)

    CAS  Google Scholar 

  140. X. D. Huang, L.-R. Bao, X. Cheng, L. J. Guo, S. W. Panga, A. F. Yee: Reversal imprinting by transferring polymer from mold to substrate, J. Vac. Sci. Technol. B 20(6), 2872–2876 (2002)

    CAS  Google Scholar 

  141. micro resist technology GmbH, Köpenicker Str. 325, D-12555 Berlin, Germany: http://www.microresist.de/

  142. Polysciences, Inc., 400 Valley Road, Warrington, PA 18976, USA: http://www.polysciences.com

  143. ALLRESIST GmbH, Am Biotop 14, D-15344 Strausberg, Germany: http://www.allresist.de

  144. C.-Y. Chao, L. J. Guo: Polymer microring resonators fabricated by nanoimprint technique, J. Vac. Sci. Technol. B 20, 2862–2866 (2002)

    CAS  Google Scholar 

  145. Bayer AG, Bayer Material Science, Building B207, D-51368 Leverkusen, Germany: http://plastics.bayer.com

  146. LG Dow Polycarbonate Ltd., 762-1, Jungheung-Dong, Yeosu, Chunnam, Republic of Korea: http://www.lg-dow.com

  147. J. Tallal, D. Peyrade, F. Lazzarino, K. Berton, C. Perret, M. Gordon, C. Gourgon, P. Schiavone: Replication of sub-40 nm gap nanoelectrodes over an 8-in. substrate by nanoimprint lithography, Microelectron. Eng. 78–79, 676–681 (2005)

    Google Scholar 

  148. Zeon Chemicals L. P., 4111 Bells Lane, Louisville, KY 40211, USA: http://www.zeonchemicals.com

  149. Ticona GmbH, Professor-Staudinger-Straße, 65451 Kelsterbach, Germany: http://www.ticona.com/

  150. T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo, O. Geschke, A. Kristensen: Nanoimprint lithography in the cyclic olefin copolymer, Topas, a highly UV-transparent and chemically resistant thermoplast, J. Vac. Sci. Technol. B 22, 1770–1775 (2004)

    CAS  Google Scholar 

  151. B. Simmons, B. Lapizco-Encinas, R. Shediac, J. Hachman, J. Chames, J. Brazzle, J. Ceremuga, G. Fiechtner, E. Cummings, Y. Fintschenko: Polymeric insulator-based (electrodeless) dielectrophoresis (iDEP) for the monitoring of water-borne pathogens, Proceedings of MicroTAS 2004, the Eight International Conference on Miniaturised Systems for Chemistry and Life Sciences, Malmö, Sweden 2004, ed. by T. Laurell, J. Nilsson, K. Jensen, D. J. Harrison, J. P. Kutter (Royal Society of Chemistry, Cambridge, United Kingdom 2004) 171–173

    Google Scholar 

  152. D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005)

    CAS  Google Scholar 

  153. K. Pfeiffer, M. Fink, G. Ahrens, G. Gruetzner, F. Reuther, J. Seekamp, S. Zankovych, C. M. Sotomayor Torres, I. Maximov, M. Beck, M. Graczyk, L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrueber: Polymer stamps for nanoimprinting, Microelectron. Eng. 61–62, 393–398 (2002)

    Google Scholar 

  154. M. Wissen, H. Schulz, N. Bogdanski, H.-C. Scheer, Y. Hirai, H. Kikuta, G. Ahrens, F. Reuther, K. Pfeiffer: UV curing of resists for warm embossing, Microelectron. Eng. 73–74, 184–189 (2004)

    Google Scholar 

  155. http://www.dsm.com/en_US/html/hybrane/hybhome.htm

  156. A. Lebib, Y. Chen, E. Cambril, P. Youinou, V. Studer, M. Natali, A. Pepin, H. M. Janssen, R. P. Sijbesma: Room-temperature and low-pressure nanoimprint lithography, Microelectron. Eng. 61–62, 371–377 (2002)

    Google Scholar 

  157. Sumitomo Chemical Corp., 27-1, Shinkawa 2-chome, Chuo-ku,Tokyo 104-8260, Japan: http://www.sumitomo-chem.co.jp/

  158. C. G. Willson, R. A. Dammel, A. Reiser: Photoresist materials: A historical perspective, Proc. SPIE 3049, 28–41 (1997)

    CAS  Google Scholar 

  159. M. D. Stewart, C. G. Willson: Photoresists. In: Encyclopedia of Materials: Science and Technology, ed. by K. H. J.  Buschow, R.  W. Cahn, M.  C. Flemings, B. Ilschner, E. J. Kramer, S. Mahajan, P. Veyssière (Elsevier Science, Amsterdam 2001) pp. 6973–6978

    Google Scholar 

  160. M. Khoury, D. K. Ferry: Effect of molecular weight on poly(methyl methacrylate) resolution, J. Vac. Sci. Technol. B 14, 75–79 (1996)

    CAS  Google Scholar 

  161. K. Pfeiffer, G. Bleidiessel, G. Gruetzner, H. Schulz, T. Hoffmann, H.-C. Scheer, C. M. Sotomayor Torres, J. Ahopelto: Suitability of new polymer materials with adjustable glass temperature for nano-imprinting, Microelectron. Eng. 46, 431–434 (1999)

    CAS  Google Scholar 

  162. K. Pfeiffer, M. Fink, G. Bleidiessel, G. Gruetzner, H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, F. Gaboriau, C. Cardinaud: Novel linear and crosslinking polymers for nanoimprinting with high etch resistance, Microelectron. Eng. 53, 411–414 (2000)

    CAS  Google Scholar 

  163. F. Gaboriau, M. C. Peignon, G. Turban, C. Cardinaud, K. Pfeiffer, G. Bleidiessel, G. Grutzner: Etch behaviour of resists suitable for new patterning processes in nanotechnologies, Proc. CIP, Antibes, France 1999

    Google Scholar 

  164. F. Gaboriau, M.-C. Peignon, A. Barreau, G. Turban, C. Cardinaud, K. Pfeiffer, G. Bleidiessel, G. Grutzner: High density fluorocarbon plasma etching of new resists suitable for nanoimprint lithography, Microelectron. Eng. 53, 501–505 (2000)

    CAS  Google Scholar 

  165. F. Gottschalch, T. Hoffmann, C. M. Sotomayor Torres, H. Schulz, H.-C. Scheer: Polymer issues in nanoimprinting technique, Solid State Elec. 43, 1079–1083 (1999)

    CAS  Google Scholar 

  166. H. Schulz, H.-C. Scheer, T. Hoffmann, C. M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000)

    CAS  Google Scholar 

  167. H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer, G. Bleidiessel, G. Grützner, J. Ahopelto: Master replication into thermosetting polymers for nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582–3585 (2000)

    CAS  Google Scholar 

  168. D. Lyebyedyev, H. Schulz, H.-C. Scheer: Characterisation of new thermosetting polymer materials for nanoimprint lithography, Mater. Sci. Eng. 15(1-2), 241–243 (2001)

    Google Scholar 

  169. K. Pfeiffer, F. Reuther, M. Fink, G. Gruetzner, P. Carlberg, I. Maximov, L. Montelius, J. Seekamp, S. Zankovych, C. M. Sotomayor-Torres, H. Schulz, H.-C. Scheer: A comparison of thermally and photochemically cross-linked polymers for nanoimprinting, Microelectron. Eng. 67–68, 266–273 (2003)

    Google Scholar 

  170. M. Colburn, I. Suez, B. J. Choi, M. Meissl, T. Bailey, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Tech. B 19(6), 2685–2689 (2001)

    CAS  Google Scholar 

  171. C. D. Schaper, A. Miahnahri: Polyvinyl alcohol templates for low cost, high resolution, complex printing, J. Vac. Sci. Technol. B 22(6), 3323–3326 (2002)

    Google Scholar 

  172. R. M. Reano, Y. P. Kong, H. Y. Low, L. Tan, F. Wang, S. W. Pang, A. F. Yee: Stability of functional polymers after plasticizer-assisted imprint lithography, J. Vac. Sci. Technol. B 22(6), 3294–3299 (2002)

    Google Scholar 

  173. M. Köhler: Etching in Microsystem Technology (Wiley-VCH, Weinheim 1999)

    Google Scholar 

  174. H. Schift, J. Gobrecht, B. Satilmis, J. Söchtig, F. Meier, W. Raupach: Nanoreplikation im Verbund: Ein Schweizer Netzwerk, Kunststoffe 94, 22–26 (2004) English version: Nanoreplication in a Network, Kunststoffe Plast Europe 94 (2004) 1–4

    Google Scholar 

  175. H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005)

    CAS  Google Scholar 

  176. S. Park, H. Schift, H. H. Solak, J. Gobrecht: Stamps for nanoimprint lithography by extreme ultraviolet interference lithography, J. Vac. Sci. Technol. B 22(6), 3246–3250 (2004)

    CAS  Google Scholar 

  177. K. A. Lister, B. G. Casey, P. S. Dobson, S. Thoms, D. S. Macintyre, C. D. W. Wilkinson, J. M. R. Weaver: Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond, Microelectron. Eng. 73–74, 319–322 (2004)

    Google Scholar 

  178. J. Taniguchi, Y. Tokano, I. Miyamoto, M. Komuro, H. Hiroshima: Diamond nanoimprint lithography, Nanotechnology 13, 592–596 (2002)

    CAS  Google Scholar 

  179. Y. Hirai, S. Yoshida, N. Takagi, Y. Tanaka, H. Yabe, K. Sasaki, H. Sumitani, K. Yamamoto: High aspect pattern fabrication by nano imprint lithography using fine diamond mold, Jpn. J. Appl. Phys. 42(6B), 3863–3866 (2003)

    CAS  Google Scholar 

  180. S. W. Pang, T. Tamamura, M. Nakao, A. Ozawa, H. Masuda: Direct nano-printing on Al substrate using SiC mold, J. Vac. Sci. Technol. B 16, 1145 (1998)

    CAS  Google Scholar 

  181. J. Gao, M. B. Chan-Park, D. Xie, Y. Yan, W. Zhou, B. K. A. Ngoi, C. Y. Yue: UV embossing of submicron patterns on biocompatible polymeric films using a focused ion beam fabricated mold, Chem. Mater. 16(6), 956–958 (2004)

    CAS  Google Scholar 

  182. M. M. Alkaisi, R. J. Blaikie, S. J. McNab: Low temperature nanoimprint lithography using silicon nitride molds, Microelectron. Eng. 57–58, 367–373 (2001)

    Google Scholar 

  183. Y. Hirai, S. Harada, S. Isaka, M. Kobayashi, Y. Tanaka: Nano-imprint lithography using replicated mold by Ni electroforming, Jpn. J. Appl. Phys. 41(6B), 4186–4189 (2002)

    CAS  Google Scholar 

  184. Z. Yu, L. Chen, W. Wu, H. Ge, S. Y. Chou: Fabrication of nanoscale gratings with reduced line edge roughness using nanoimprint lithography, J. Vac. Sci. Technol. B 21(5), 2089–2092 (2003)

    CAS  Google Scholar 

  185. N. Roos, H. Schulz, L. Bendfeldt, M. Fink, K. Pfeiffer, H.-C. Scheer: First and second generation purely thermoset stamps for hot embossing, Microelectron. Eng. 61–62, 399–405 (2002)

    Google Scholar 

  186. N. Roos, H. Schulz, M. Fink, K. Pfeiffer, F. Osenberg, H.-C. Scheer: Performance of 4'' wafer-scale thermoset working stamps in hot embossing lithography, Proc. SPIE 4688, 232–239 (2002)

    CAS  Google Scholar 

  187. M. Fink. Pfeiffer, G. Ahrens, G. Grützner, F. Reuther, J. Seekamp, S. Zankovych, C. M. Sotomayor Torres, I. Maximov, M. Beck, M. Graczyk, L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrueber: Polymer stamps for nanoimprinting, Microelectron. Eng. 61–62, 393–398 (2002)

    Google Scholar 

  188. H. Schift, S. Park, J. Gobrecht, S. Saxer, F. Meier, W. Raupach, K. Vogelsang: Hybrid bendable stamp copies for molding fabricated by nanoimprint, Microelectron. Eng. 78–79, 605–611 (2005)

    Google Scholar 

  189. R. W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly, P. Gröning: The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing, Appl. Surf. Sci. 143, 301–308 (1999)

    CAS  Google Scholar 

  190. R. W. Jaszewski, H. Schift, P. Gröning, G. Margaritondo: Properties of thin anti-adhesive films used for the replication of microstructures in polymers, Microelectron. Eng. 35, 381–384 (1997)

    CAS  Google Scholar 

  191. U. Srinivasan, M. R. Houston, R. T. Howe, R. Maboudian: Alkyltrichlorosilane-based self-assembled monolayer films for stiction reduction in silicon micromachines, J. Microelectromech. Syst. 7, 252–260 (1998)

    CAS  Google Scholar 

  192. H. Schulz, F. Osenberg, J. Engemann, H.-C. Scheer: Mask fabrication by nanoimprint lithography using antisticking layers, Proc. SPIE 3996, 244–249 (2000)

    CAS  Google Scholar 

  193. M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T. G. I. Ling, M. Keil, L. Montelius: Improving stamps for 10 nm level wafer scale nanoimprint lithography, Microelectron. Eng. 61–62, 441–448 (2002)

    Google Scholar 

  194. S. Park, H. Schift, C. Padeste, A. Scheybal, T. Jung, B. Schnyder, R. Kötz, J. Gobrecht: Improved anti-adhesive coating for nanoimprint lithography by co-evaporation of tri- and monochlorosilanes, Mater. Res. Soc. Proc. EXS-2, 37–39 (2004)

    CAS  Google Scholar 

  195. H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles, J. Gobrecht: Controlled co-evaporation of silanes for nanoimprint stamps, Nanotechnology 16, S171–S175 (2005)

    CAS  Google Scholar 

  196. M. Keil, M. Beck, G. Frennesson, E. Theander, E. Bolmsjö, L. Montelius, B. Heidari: Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithography, J. Vac. Sci. Technol. B 22(6), 3283–3287 (2002)

    Google Scholar 

  197. S. Park, H. Schift, C. Padeste, B. Schnyder, R. Kötz, J. Gobrecht: Anti-adhesive layers on nickel stamps for nanoimprint lithography, Microelectron. Eng. 73–74, 196–201 (2004)

    Google Scholar 

  198. ABCR GmbH & Co. KG, Im Schlehert 10, D-76187 Karlsruhe, Germany: http://www.abcr.de/

  199. B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: Large scale nanolithography using imprint lithography, J. Vac. Sci. Technol. B 17, 2961–2964 (1999)

    CAS  Google Scholar 

  200. B. Heidari, I. Maximov, L. Montelius: Nanoimprint lithography at the 6 in. wafer scale, J. Vac. Sci. Technol. B 18(6), 3557–3560 (2000)

    CAS  Google Scholar 

  201. N. Roos, T. Luxbacher, T. Glinsner, K. Pfeiffer, H. Schulz, H.-C. Scheer: Nanoimprint lithography with a commercial 4 inch bond system for hot embossing, SPIE 4343, 427–436 (2001)

    CAS  Google Scholar 

  202. C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis, O. Joubert, R. Pelzer: Uniformity across 200 mm silicon wafers printed by nanoimprint lithography, J. Phys. D: Appl. Phys. 38, 70–73 (2005)

    CAS  Google Scholar 

  203. L. Bendfeldt, H. Schulz, N. Roos, H.-C. Scheer: Groove design of vacuum chucks for hot embossing lithography, Microelectron. Eng. 61–62, 455–459 (2002)

    Google Scholar 

  204. T. Haatainen, J. Ahopelto, G. Grützner, M. Fink, K. Pfeiffer: Step & stamp imprint lithography using a commercial flip chip bonder, Proc. SPIE 3997, 874–879 (2000)

    Google Scholar 

  205. H. Tana, A. Gilbertson, S. Y. Chou: Roller nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3926–3928 (1998)

    Google Scholar 

  206. L. Olsson: Method and device for transferring a pattern. European patent PCT/SE2003/001003 (2002)

    Google Scholar 

  207. M. Tormen: A nano impression lithographic process which involves the use of a die having a region able to generate heat. European patent PCT/IB2004/002120 (2004)

    Google Scholar 

  208. S. Y. Chou, C. Keimel, J. Gu: Ultrafast and direct imprint of nanostructures in silicon, Nature 417, 835–837 (2002)

    CAS  Google Scholar 

  209. J. J. Shamaly, V. F. Bunze: I-line to DUV transition for critical levels, Microelectron. Eng. 30, 87–93 (1996)

    CAS  Google Scholar 

  210. J. Bjorkholm: EUV lithography—the successor to optical lithography? Intel Technology Journal, Q3/98. http://www.intel.com/technology/itj/q31998/articles/art_4.htm

  211. D. Wachenschwanz, W. Jiang, E. Roddick, A. Homola, P. Dorsey, B. Harper, D. Treves, C. Bajorek: Design of a manufacturable discrete track recording medium, IEEE Trans. Mag. 41, 670–675 (2005)

    Google Scholar 

  212. G. M. McClelland, M. W. Hart, C. T. Rettner, M. E. Best, K. R. Carter, B. D. Terris: Nanoscale patterning of magnetic islands by imprint lithography using a flexible mold, Appl. Phys. Lett. 81, 1483–1485 (2002)

    CAS  Google Scholar 

  213. G. F. Cardinale, J. L. Skinner, A. A. Talin, R. W. Brocato, D. W. Palmer, D. P. Mancini, W. J. Dauksher, K. Gehoski, N. Le, K. J. Nordquist, D. J. Resnick: Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography, J. Vac. Sci. Technol. B 22, 3265–3270 (2004)

    CAS  Google Scholar 

  214. S.-W. Ahn, K.-D. Lee, J.-S. Kim, S. H. Kim, S. H. Lee, J.-D. Park, P.-W. Yoon: Fabrication of subwavelength aluminum wire grating using nanoimprint lithography and reactive ion etching, Microelectron. Eng. 78–79, 314–318 (2005)

    Google Scholar 

  215. M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, Hong-Bo Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, X-ray and two-photon lithography, Microelectron. Eng. 73–74, 535–541 (2004)

    Google Scholar 

  216. J. Seekamp, S. Zankovych, A. H. Helfer, P. Maury, C. M. Sotomayor Torres, G. Böttger, C. Liguda, M. Eich, B. Heidari, L. Montelius, J. Ahopelto: Nanoimprinted passive optical devices, Nanotechnology 13, 581–586 (2002)

    CAS  Google Scholar 

  217. C. M. Sotomayor Torres, S. Zankovych, J. Seekamp, A. P. Kam, C. Clavijo Cedeño, T. Hoffmann, J. Ahopelto, F. Reuther, K. Pfeiffer, G. Bleidiessel, G. Gruetzner, M. V. Maximov, B. Heidari: Nanoimprint lithography: An alternative nanofabrication approach, Mater. Sci. Eng. C 23, 23–31 (2003)

    Google Scholar 

  218. T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo, O. Geschke, A. Kristensen: Nanoimprint lithography in the cyclic olefin copolymer, Topas, a highly UV-transparent and chemically resistant thermoplast, J. Vac. Sci. Technol. B 22, 1770–1775 (2004)

    CAS  Google Scholar 

  219. J. Wang, X. Sun, L. Chen, S. Y. Chou: Direct nanoimprint of submicron organic light-emitting structures, Appl. Phys. Lett. 75, 2767–2769 (1999)

    CAS  Google Scholar 

  220. X. Cheng, Y. Hong, J. Kanicki, L. J. Guo: High-resolution organic polymer light-emitting pixels fabricated by imprinting technique, J. Vac. Sci. Technol. B 20, 2877–2880 (2002)

    CAS  Google Scholar 

  221. D. Pisignano, L. Persano, E. Mele, P. Visconti, R. Cingolani, G. Gigli, G. Barbarella, L. Favaretto: Emission properties of printed organic semiconductor lasers, Opt. Lett. 30, 260–262 (1995)

    Google Scholar 

  222. D. Nilsson, T. Nielsen, A. Kristensen: Solid state micro-cavity dye lasers fabricated by nanoimprint lithography, Rev. Sci. Instr. 75, 4481–4486 (2004)

    CAS  Google Scholar 

  223. D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005)

    CAS  Google Scholar 

  224. C. Clavijo Cedeno, J. Seekamp, A. P. Kam, T. Hoffmann, S. Zankovych, C. M. Sotomayor Torres, C. Menozzi, M. Cavallini, M. Murgia, G. Ruani, F. Biscarini, M. Behl, R. Zentel, J. Ahopelto: Nanoimprint lithography for organic electronics, Microelectron. Eng. 61–62, 25–31 (2002)

    Google Scholar 

  225. A. Manz, N. Graber, H. M. Widmer: Miniaturized total chemical analysis systems: A novel concept for chemical sensing, Sens. Actuators B1, 244–248 (1990)

    CAS  Google Scholar 

  226. E. Verpoorte, N. F. De Rooij: Microfluidics meets MEMS, Proc. IEEE 91, 930–953 (2003)

    CAS  Google Scholar 

  227. A. Pepin, P. Youinou, V. Studer, A. Lebib, Y. Chen: Nanoimprint lithography for the fabrication of DNA electrophoresis chips, Microelectron. Eng. 61–62, 927–932 (2002)

    Google Scholar 

  228. J. O. Tegenfeldt, C. Prinz, H. Cao, R. L. Huang, R. H. Austin, S. Y. Chou, E. C. Cox, J. C. Sturm: Micro- and nanofluidics for DNA analysis, Anal. Bioanal. Chem. 378, 1678–1692 (2004)

    CAS  Google Scholar 

  229. S. Y. Chou: Patterned Magnetic Nanostructures and Quantized Magnetic Disks, Proc. IEEE 85, 652–671 (1997)

    CAS  Google Scholar 

  230. M. N. Baibich, J. M. Broto, A. Fert, F. Nguyen Van Dau, F. Petroff, P. Eitenne, G. Creuzet, A. Friederich, J. Chazelas: Giant magnetoresistance of (001)Fe/(001)Cr magnetic superlattices, Phys. Rev. Lett. 61, 2472–2475 (1988)

    CAS  Google Scholar 

  231. Y. Li, A. K. Menon: Magnetic recording technologies: Overview. In: Encyclopedia of Materials: Science and Technology, ed. by K. H. J. Buschow, R. W. Cahn, M. C. Flemings, B.  Ilschner, E. J. Kramer, S. Mahajan, P. Veyssière (Elsevier, Amsterdam 2001) pp. 4948–4957

    Google Scholar 

  232. L. F. Shew: Discrete tracks for saturation magnetic recording, IEEE Trans. Broadcast Television Recievers BTR-9, 56–62 (1963)

    Google Scholar 

  233. A. K. Menon: Interface tribology for 100 Gb/in2, Tribology Int. 33, 299–308 (2000)

    CAS  Google Scholar 

  234. Y. Soeno, M. Moriya, K. Ito, K. Hattori, A. Kaizu, T. Aoyama, M. Matsuzaki, H. Sakai: Feasibility of discrete track perpendicular media for high track density recording, IEEE Trans. Magn. 39, 1967–1971 (2003)

    Google Scholar 

  235. S. Y. Chou, M. Wei, P. R. Krauss, P. B. Fisher: Study of nanoscale magnetic structures fabricated using electron beam lithography and quantum magnetic disk, J. Vac. Sci. Technol. B 12, 3695–3698 (1994)

    CAS  Google Scholar 

  236. R. L. White, R. M. H. Newt, R. F. W. Pease: Patterned media: A viable route to 50 Gbit/in2 and up for magnetic recording?, IEEE Trans. Magn. 33, 990–995 (1997)

    CAS  Google Scholar 

  237. W. Wu, B. Cui, X.-Y. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou: Large area high density quantized magnetic disks fabricated using nanoimprint lithography, J. Vac. Sci. Technol. B 16, 3825–3829 (1998)

    CAS  Google Scholar 

  238. M. Natali, A. Lebib, E. Cambril, Y. Chen, I. L. Prejbeanu, K. Ounadjela: Nanoimprint lithography of high-density cobalt dot patterns for fine tuning of dipole interactions, J. Vac. Sci. Technol. B 19, 2779–2783 (2001)

    CAS  Google Scholar 

  239. J. Moritz, B. Dieny, J. P. Nozieres, S. Landis, A. Lebib, Y. Chen: Domain structure in magnetic dots prepared by nanoimprint and e-beam lithography, J. Appl. Phys. 91, 7314–7316 (2002)

    CAS  Google Scholar 

  240. P. Lalanne, M. Hutley: Artificial media optical properties – subwavelength scale. In: Enclopedia of Optical Engineering, ed. by R. Driggers (Dekker, New York 2003) pp. 62–71

    Google Scholar 

  241. Z. Yu, W. Wu, L. Chen, S. Chou: Fabrication of large area 100 nm pitch grating by spatial frequency doubling and nanoimprint lithography for subwavelength optical applications, J. Vac. Sci. Technol. B 19, 2816–2819 (2001)

    CAS  Google Scholar 

  242. MOXTEK, Inc., 452 West 1260 North, Orem, UT 84057, USA: http://www.moxtek.com/

  243. NanoOpto Corporation, 1600 Cottontail Lane, Somerset, NJ 08873-5117, USA: http://www.nanoopto.com/

  244. L. J. Guo, X. Cheng, C. Y. Chao: Fabrication of photonic nanostructures in nonlinear optical polymers, J. Mod. Opt. 49, 663–673 (2002)

    CAS  Google Scholar 

  245. C.-Y. Chao, L. J. Guo: Reduction of surface scattering loss in polymer microrings using thermal-reflow technique, IEEE Photonics Technol. Lett. 16, 1498–1500 (2004)

    Google Scholar 

  246. H. C. Hoch, L. W. Jelinski, H. C. Craighead (eds): Nanofabrication and Biosystems: Integrating Materials Science, Engineering, and Biology (Cambridge Univ. Press, Cambridge 1996)

    Google Scholar 

  247. H. G. Craighead: Nanoelectromechanical systems, Science 290, 1532–1535 (2000)

    CAS  Google Scholar 

  248. L. R. Huang, J. O. Tegenfeldt, J. J. Kraeft, J. C. Sturm, R. H. Austin, E. C. Cox: A DNA prism for high-speed continous frationation of large DNA molecules, Nature Biotechnol. 20, 1048–1051 (2002)

    CAS  Google Scholar 

  249. H. G. Craighead: Nanostructure science and technology: impact and prospects for biology, J. Vac. Sci. Technol. A 21, S216–S221 (2003)

    CAS  Google Scholar 

  250. J. O. Tegenfeldt, C. Prinz, H. Cao, S. Chou, W. W. Reisner, R. Riehn, Y. M. Wang, E. C. Cox, J. C. Sturm, P. Silberzan, R. H. Austin: The dynamics of genomic-length DNA molecules in 100-nm channels, Proc. Nat. Acad. Sci. USA 101, 10979–10983 (2004)

    CAS  Google Scholar 

  251. L. J. Guo, X. Cheng, C.-F. Chou: Fabrication of size-controllable nanofluidic channels by nanoimprinting and its application for DNA stretching, Nano Lett. 4, 69–73 (2004)

    CAS  Google Scholar 

  252. C. Bustamante, J. F. Marko, E. D. Siggia, S. Smith: Entropic elasticity of λ-phage DNA, Science 265, 1599–1600 (1994)

    CAS  Google Scholar 

  253. A. Kapr: Johann Gutenberg: The Man and His Invention (Scolar Press, London 1996) English translation of J. Gutenberg: http://www.gutenberg.de/publ.htm

    Google Scholar 

  254. The enterprises offering equipment and materials for NIL: EVG (http://www.evgroup.com/), SÜSS (http://www.suss.com/), Obducat (http://www.obducat.com/), Jenoptik (http://www.jenoptik.com/), Molecular Imprints (http://www.molecularimprints.com/), Microresist Technology GmbH (http://www.microresist.de/), Nanonex (http://www.nanonex.com/)

  255. Sematech: http://www.sematech.org/, accessed April 27, 2005

  256. Molecular Imprints: http://www.molecularimprints.com/

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Helmut Schift Dr. or Anders Kristensen Prof. .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag

About this entry

Cite this entry

Schift, H., Kristensen, A. (2007). Nanoimprint Lithography. In: Bhushan, B. (eds) Springer Handbook of Nanotechnology. Springer Handbooks. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-29857-1_8

Download citation

Publish with us

Policies and ethics