Skip to main content

Introduction to Micro/Nanofabrication

  • Reference work entry
Springer Handbook of Nanotechnology

Part of the book series: Springer Handbooks ((SHB))

Abstract

This chapter outlines and discusses important micro- and nanofabrication techniques. We start with the most basic methods borrowed from the integrated circuit (IC) industry, such as thin film deposition, lithography and etching, and then move on to look at MEMS and nanofabrication technologies. We cover a broad range of dimensions, from the micron to the nanometer scale. Although most of the current research is geared towards the nanodomain, a good understanding of top-down methods for fabricating micron-sized objects can aid our understanding of this research. Due to space constraints, we have focused here on the most important technologies; in the microdomain these include surface, bulk and high aspect ratio micromachining; in the nanodomain we concentrate on e-beam lithography, epitaxial growth, template manufacturing and self-assembly. MEMS technology is maturing rapidly, with some new technologies displacing older ones that have proven to be unsuited to manufacture on a commercial scale. However, the jury is still out on methods used in the nanodomain, although it appears that bottom-up methods are the most feasible, and these will have a major impact in a variety of application areas such as biology, medicine, environmental monitoring and nanoelectronics.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Similar content being viewed by others

Abbreviations

AFM:

atomic force microscopy

CMOS:

complementary metal oxide semiconductor

CVD:

chemical vapor deposition

DC:

direct current

DMD:

digital micromirror device

DNA:

deoxyribonucleic acid

DPN:

dip-pen nanolithography

DRIE:

deep reactive ion etching

EDP:

ethylene diamine pyrocatechol

FE:

finite element

HF:

hydrofluoric acid

IC:

integrated circuit

LPCVD:

low-pressure chemical vapor deposition

MEMS:

microelectromechanical system

OTS:

octadecyltrichlorosilane

PDMS:

polydimethylsiloxane

PE:

polyethylene

PECVD:

plasma enhanced chemical vapor deposition

PMMA:

polymethylmethacrylate

PZT:

lead zirconium titanate

RF:

radio-frequency

RIE:

reactive ion etching

SAM:

scanning acoustic microscopy

SAM:

self-assembled monolayer

SEM:

scanning electron microscopy

SOI:

silicon-on-insulator

SPM:

scanning probe microscopy

STM:

scanning tunneling microscopy

TEM:

transmission electron microscopy

UHV:

ultrahigh vacuum

VLSI:

very large-scale integration

References

  1. S. A. Campbell: The Science and Engineering of Microelectronic Fabrication (Oxford Univ. Press, New York 2001)

    Google Scholar 

  2. C. J. Jaeger: Introduction to Microelectronic Fabrication (Prentice Hall, New Jersey 2002)

    Google Scholar 

  3. J. D. Plummer, M. D. Deal, P. B. Griffin: Silicon VLSI Technology (Prentice-Hall, New Jersey 2000)

    Google Scholar 

  4. J. E. Bjorkholm: EUV lithography: the successor to optical lithography, Intel Technol. J. 2, 1–8 (1998)

    Google Scholar 

  5. H. U. Krebs, M. Störmer, J. Faupel, E. Süske, T. Scharf, C. Fuhse, N. Seibt, H. Kijewski, D. Nelke, E. Panchenko, M. Buback: Pulsed laser deposition (PLD) – a versatile thin film technique, Adv. Solid State Phys. 43, 505–517 (2003)

    CAS  Google Scholar 

  6. M. Leskela, M. Ritala: Atomic layer deposition chemistry: recent developments and future challenges, Angew. Chem. Int. Ed. 42, 5548–5554 (2003)

    CAS  Google Scholar 

  7. J. L. Vossen: Thin Film Processes (Academic, New York 1976)

    Google Scholar 

  8. M. Gad-el-Hak: The MEMS Handbook (CRC, Boca Raton 2002)

    Google Scholar 

  9. T-R. Hsu: MEMS and Microsystems Design and Manufacture (McGraw-Hill, New York 2002)

    Google Scholar 

  10. G. T. A. Kovacs: Micromachined Transducers Sourcebook (McGraw-Hill, New York 1998)

    Google Scholar 

  11. P. Rai-Choudhury: Handbook of Microlithography, Micromachining and Microfabrication. Volume 2: Micromachining and Microfabrication (SPIE, IEE, Bellingham 1997)

    Google Scholar 

  12. T. J. Cotler, M. E. Elta: Plasma-etch technology, IEEE Circuits Devices Mag. 6, 38–43 (1990)

    Google Scholar 

  13. U. Gosele, Q. Y. Tong: Semiconductor wafer bonding, Annu. Rev. Mater. Sci 28, 215–241 (1998)

    CAS  Google Scholar 

  14. Q. Y. Tong, U. Gosele: Semiconductor Wafer Bonding: Science and Technology (Wiley, New York 1999)

    Google Scholar 

  15. F. Niklaus, P. Enoksson, E. Kalveston, G. Stemme: Void-free full-wafer adhesive bonding, J. Micromech. Microeng. 11, 100–107 (2000)

    Google Scholar 

  16. C. A. Harper: Electronic Packaging and Interconnection Handbook (McGraw-Hill, New York 2000)

    Google Scholar 

  17. W. H. Ko, J. T. Suminto, G. J. Yeh: Bonding techniques for microsensors. In: Micromachining and Micropackaging for Transducers, ed. by W. H. Ko (Elsevier, Amsterdam 1985)

    Google Scholar 

  18. G. T. A. Kovacs, N. I. Maluf, K. A. Petersen: Bulk micromachining of silicon, Proc. IEEE 86, 1536–1551 (1998)

    CAS  Google Scholar 

  19. K. Najafi, K. D. Wise, T. Mochizuki: A high-yield IC-compatible multichannel recording array, IEEE Trans. Electron Devices 32, 1206–1211 (1985)

    Google Scholar 

  20. A. Selvakumar, K. Najafi: A high-sensitivity z-axis capacitive silicon microaccelerometer with a tortional suspension, J. Microelectromech. Sys. 7, 192–200 (1998)

    Google Scholar 

  21. H. Baltes, O. Paul, O. Brand: Micromachined thermally based CMOS microsensors, Proc. IEEE 86, 1660–1678 (1998)

    CAS  Google Scholar 

  22. B. Eyre, K. S. J. Pister, W. Gekelman: Multi-axis microcoil sensors in standard CMOS, Proc. SPIE Conf. Micromachined Devices and Components, Austin, TX, 183–191 (1995)

    Google Scholar 

  23. K. A. Shaw, Z. L. Zhang, N. C. MacDonald: SCREAM: a single mask, single-crystal silicon process for microelectromechanical structures, Proc. IEEE Workshop Microelectromechanical Systems, Fort Lauderdale, 155–160 (1993)

    Google Scholar 

  24. G. K. Fedder, S. Santhanam, M. L. Reed, S. C. Eagle, D. F. Guillo, M. S. C. Lu, L. R. Carley: Laminated high-aspect-ratio microstructures in a conventional CMOS process, Proc. IEEE Workshop Micro Electro Mechanical Systems, San Diego, CA, 13–18 (1996)

    Google Scholar 

  25. N. C. MacDonald: SCREAM Microelectromechanical systems, Microelectron. Eng. 32, 51–55 (1996)

    Google Scholar 

  26. X. Huikai, L. Erdmann, Z. Xu, K. J. Gabriel, G. K. Fedder: Post-CMOS processing for high-aspect-ratio integrated silicon microstructures, J. Microelectromech. Sys. 11, 93–101 (2002)

    Google Scholar 

  27. B. P. Van Drieenhuizen, N. I. Maluf, I. E. Opris, G. T. A. Kovacs: Force-balanced accelerometer with mG resolution fabricated using silicon fusion bonding and deep reactive ion etching, Proc. Int. Conf. Solid-State Sensors and Actuators, Chicago, 1229–1230 (1997)

    Google Scholar 

  28. J. M. Bustillo, R. S. Muller: Surface micromachining for microelectromechanical systems, Proc. IEEE 86, 1552–1574 (1998)

    CAS  Google Scholar 

  29. H. C. Nathanson, W. E. Newell, R. A. Wickstrom, J. R. Davis: The resonant gate transistor, IEEE Trans. Electron Devices 14, 117–133 (1967)

    CAS  Google Scholar 

  30. R. T. Howe, R. S. Muller: Polycrystalline silicon micromechanical beams, Proc. Electrochemical Soc., Spring Meeting, Montreal, 184–185 (1982)

    Google Scholar 

  31. J. A. Geen, S. J. Sherman, J. F. Chang, S. R. Lewis: Single-chip surface-micromachined integrated gyroscope with 50 degrees /hour root Allan variance, IEEE J. Solid-St. Circ. 37, 1860–1866 (2002)

    Google Scholar 

  32. P. F. Van Kessel, L. J. Hornbeck, R. E. Meier, M. R. Douglass: A MEMS-based projection display, Proc. IEEE 86, 1687–1704 (1998)

    Google Scholar 

  33. A. E. Franke, D. Bilic, D. T. Chang, P. T. Jones, R. T. Howe, G. C. Johnson: Post-CMOS integration of germanium microstructures, Proc. Micro Electro Mechanical Systems, Orlando, FL, 630–637 (1999)

    Google Scholar 

  34. S. Sedky, P. Fiorini, M. Caymax, S. Loreti, K. Baert, L. Hermans, R. Mertens: Structural and mechanical properties of polycrystalline silicon germanium for micromachining applications, J. Microelectromech. Sys. 7, 365–372 (1998)

    CAS  Google Scholar 

  35. N. Tas, T. Sonnenberg, H. Jansen, R. Legtenberg, M. Elwenspoek: Stiction in surface micromachining, J. Micromech. Microeng. 6, 385–397 (1996)

    CAS  Google Scholar 

  36. R. Maboudian, R. T. Howe: Critical review: adhesion in surface micromechanical structures, J. Vacuum Sci. Tech. B 15, 1–20 (1997)

    CAS  Google Scholar 

  37. J. H. Smith, S. Montague, J. J. Sniegowski, J. R. Murray, P. J. McWhorter: Embedded micromechanical devices for the monolithic integration of MEMS with CMOS, Proc. Int. Electron Devices Meeting, Washington, 609–612 (1995)

    Google Scholar 

  38. R. S. Muller, K. Y. Lau: Surface-micromachined microoptical elements and systems, Proc. IEEE 86, 1705–1720 (1998)

    Google Scholar 

  39. K. S. J. Pister, M. W. Judy, S. R. Burgett, R. S. Fearing: Microfabricated hinges: 1 mm vertical features with surface micromachining, Proc. 6 Int. Conf. Solid-State Sensors and Actuators, San Francisco, 647–650 (1991)

    Google Scholar 

  40. L. Y. Lin, S. S. Lee, M. C. Wu, K. S. J. Pister: Micromachined integrated optics for free space interconnection, Proc. IEEE Micro-Electromechanical Systems Workshop, Amsterdam, 77–82 (1995)

    Google Scholar 

  41. E. W. Becker, W. Ehrfeld, P. Hagmann, A. Maner, D. Munchmeyer: Fabrication of microstructures with high aspect ratios and great structural heights by synchrotron radiation lithography galvanoforming, and plastic molding (LIGA process), Microelectron. Eng. 4, 35–56 (1986)

    CAS  Google Scholar 

  42. H. Guckel Guckel: High-aspect-ratio micromachining via deep X-ray lithography, Proc. IEEE 86, 1586–1593 (1998)

    CAS  Google Scholar 

  43. K. Y. Lee, N. LaBianca, S. A. Rishton, S. Zolgharnain, J. D. Gelorme, J. Shaw, T. H. P. Chang: Micromachining applications of a high resolution ultra-thick photoresist, J. Vacuum Sci. Tech. B 13, 3012–3016 (1995)

    CAS  Google Scholar 

  44. K. Roberts, F. Williamson, G. Cibuzar, L. Thomas: The fabrication of an array of microcavities utilizing SU-8 photoresist as an alternative 'LIGA' technology, Proc. 13th Biennial University/Government/Industry Microelectronics Symp. (IEEE), Minneapolis, 139–141 (1999)

    Google Scholar 

  45. D. A. Horsley, M. B. Cohn, A. Singh, R. Horowitz, A. P. Pisano: Design and fabrication of a angular microactuator for magnetic disk drives, J. Microelectromech. Sys. 7, 141–148 (1998)

    Google Scholar 

  46. C. Burbaum, J. Mohr, P. Bley, W. Ehrfeld: Fabrication of capacitive acceleration sensors by the LIGA technique, Sensor. Actuat. A A27, 559–563 (1991)

    Google Scholar 

  47. C. G. Keller, R. T. Howe: Hexsil bimorphs for vertical actuation. In: Digest of Technical Papers, 8th Int. Conf. on Solid-State Sensors and Actuators and Eurosensors IX (IEEE, Stockholm 1995) pp. 99–102

    Google Scholar 

  48. C. G. Keller, R. T. Howe: Nickel-filled hexsil thermally actuated tweezers. In: Digest of Technical Papers, 8th Int. Conf. on Solid-State Sensors and Actuators and Eurosensors IX (IEEE, Stockholm 1995) pp. 376–379

    Google Scholar 

  49. N. Yazdi, F. Ayazi: Micromachined inertial sensor, Proc. IEEE 86, 1640–1659 (1998)

    Google Scholar 

  50. F. Ayazi, K. Najafi: High aspect-ratio combined poly and single-crystal silicon (HARPSS) MEMS technology, J. Microelectromech. Sys. 9, 288–294 (2000)

    CAS  Google Scholar 

  51. F. Ayazi, K. Najafi: A HARPSS polysilicon vibrating ring gyroscope, J. Microelectromech. Sys. 10, 169–179 (2001)

    CAS  Google Scholar 

  52. Y. S. No, F. Ayazi: The HARPSS process for fabrication of nano-precision silicon electromechanical resonators, Proc. 1st IEEE Conf. on Nanotechnology, Maui, 489–494 (2001)

    Google Scholar 

  53. G. Timp: Nanotechnology (Springer, Berlin Heidelberg 1998)

    Google Scholar 

  54. P. Rai-Choudhury: Handbook of Microlithography, Micromachining and Microfabrication. Volume 1: Microlithography (SPIE, IEE, Bellingham 1997)

    Google Scholar 

  55. L. Ming, C. Bao-qin, Y. Tian-Chun, Q. He, X. Qiuxia: The sub-micron fabrication technology, Proc. 6th Int. Conf. on Solid-State and Integrated-Circuit Technology, San Francisco, 452–455 (2001)

    Google Scholar 

  56. S. Y. Chou: Nano-imprint lithography and lithographically induced self-assembly, MRS Bull. 26, 512–517 (2001)

    CAS  Google Scholar 

  57. S. Y. Chou, C. Keimel, J. Gu: Ultrafast and direct imprint of nanostructures in silicon, Nature 417, 835–837 (2002)

    CAS  Google Scholar 

  58. H. Cao, Z. Yu, J. Wang, J. O. Tegenfeldt, R. H. Austin, E. Chen, W. Wu, S. Y. Chou: Fabrication of 10 nm enclosed nanofluidic channels, Appl. Phys. Lett. 81, 174–176 (2002)

    CAS  Google Scholar 

  59. L. R. Bao, X. Cheng, X. D. Huang, L. J. Guo, S. W. Pang, A. F. Yee: Nanoimprinting over topography and multilayer three-dimensional printing, J. Vacuum. Sci. Tech. B 20, 2881–2886 (2002)

    CAS  Google Scholar 

  60. L. J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D 137, R123–R141 (2004)

    Google Scholar 

  61. M. A. Herman: Molecular Beam Epitaxy: Fundamentals and Current Status (Springer, Berlin Heidelberg 1996)

    Google Scholar 

  62. J. S. Frood, G. J. Davis, W. T. Tsang: Chemical Beam Epitaxy and Related Techniques (Wiley, New York 1997)

    Google Scholar 

  63. S. Mahajan, K. S. Sree Harsha: Principles of Growth and Processing of Semiconductors (McGraw-Hill, New York 1999)

    Google Scholar 

  64. S. Kim, M. Razegi: Advances in quantum dot structures. In: Processing and Properties of Compound Semiconductors, ed. by Willardson, Navawa (Academic, New York 2001)

    Google Scholar 

  65. D. Bimberg, M. Grundmann, N. N. Ledentsov: Quantum Dot Heterostructures (Wiley, New York 1999)

    Google Scholar 

  66. G. Seebohm, H. G. Craighead: Lithography and patterning for nanostructure fabrication. In: Quantum Semiconductor Devices and Technologies, ed. by T. P. Pearsall (Kluwer, Boston 2000)

    Google Scholar 

  67. E. Kapon: Lateral patterning of quantum well heterostructures by growth on nonplanar substrates. In: Epitaxial Microstructures, ed. by A. C. Gossard (Academic, New York 1994)

    Google Scholar 

  68. F. Guffarth, R. Heitz, A. Schliwa, O. Stier, N. N. Ledentsov, A. R. Kovsh, V. M. Ustinov, D. Bimberg: Strain engineering of self-organized InAs quantum dots, Phys. Rev. B 64, 085305(1)–085305(7) (2001)

    Google Scholar 

  69. M. Sugawara: Self-Assembled InGaAs/GaAs Quantum Dots (Academic, New York 1999)

    Google Scholar 

  70. B. C. Lee, S. D. Lin, C. P. Lee, H. M. Lee, J. C. Wu, K. W. Sun: Selective growth of single InAs quantum dots using strain engineering, Appl. Phys. Lett. 80, 326–328 (2002)

    CAS  Google Scholar 

  71. K. Brunner: Si/Ge nanostructures, Rep. Prog. Phys 65, 27–72 (2002)

    CAS  Google Scholar 

  72. F. S. S. Chien, W. F. Hsieh, S. Gwo, A. E. Vladar, J. A. Dagata: Silicon nanostructures fabricated by scanning probe oxidation and tetra-methyl ammonium hydroxide etching, J. Appl. Phys. 91, 10044–10050 (2002)

    CAS  Google Scholar 

  73. M. Calleja, J. Anguita, R. Garcia, K. Birkelund, F. Perez-Murano, J. A. Dagata: Nanometer-scale oxidation of silicon surfaces by dynamic force microscopy: reproducibility, kinetics, nanofabrication, Nanotechnology 10, 34–38 (1999)

    CAS  Google Scholar 

  74. E. S. Snow, P. M. Campbell, F. K. Perkins: Nanofabrication with proximal probes, Proc. IEEE 85, 601–611 (1997)

    CAS  Google Scholar 

  75. H. Sugimura, T. Uchida, N. Kitamura, H. Masuhara: Tip-induced anodization of titanium surfaces by scanning tunneling microscopy: a humidity effect on nanolithography, Appl. Phys. Lett. 63, 1288–1290 (1993)

    CAS  Google Scholar 

  76. N. Kramer, J. Jorritsma, H. Birk, C. Schonenberger: Nanometer lithography on silicon and hydrogenated amorphous silicon with low energy electrons, J. Vacuum Sci. Tech. B 13, 805–811 (1995)

    CAS  Google Scholar 

  77. H. T. Soh, K. W. Guarini, C. F. Quate: Scanning Probe Lithography (Kluwer, Boston 2001)

    Google Scholar 

  78. C. A. Mirkin: Dip-pen nanolithography: automated fabrication of custom multicomponent, sub-100-nanometer surface architectures, MRS Bull. 26, 535–538 (2001)

    CAS  Google Scholar 

  79. P. E. Sheehan, L. J. Whitman, W. P. King, B. A. Nelson: Nanoscale deposition of solid inks via thermal dip pen nanolithography, Appl. Phys. Lett. 85, 1589–1591 (2004)

    CAS  Google Scholar 

  80. L. L. Sohn, R. L. Willett: Fabrication of nanostructures using atomic force microscope-based lithography, Appl. Phys. Lett. 67, 1552–1554 (1995)

    CAS  Google Scholar 

  81. H. J. Mamin, B. D. Terris, L. S. Fan, S. Hoen, R. C. Barrett, D. Rugar: High-density data storage using proximal probe techniques, IBM J. Res. Dev. 39, 681–699 (1995)

    CAS  Google Scholar 

  82. K. Bessho, S. Hashimoto: Fabricating nanoscale structures on Au surface with scanning tunneling microscope, Appl. Phys. Lett. 65, 2142–2144 (1994)

    CAS  Google Scholar 

  83. I. W. Lyo, P. Avouris: Field-induced nanometer- to atomic-scale manipulation of silicon surfaces with the STM, Science -253, 173–176 (1991)

    Google Scholar 

  84. M. F. Crommie, C. P. Lutz, D. M. Eigler: Confinement of electrons to quantum corrals on a metal surface, Science 262, 218–220 (1993)

    CAS  Google Scholar 

  85. A. de Lozanne: Pattern generation below 0.1 micron by localized chemical vapor deposition with the scanning tunneling microscope, Jpn. J. Appl. Phys. 33, 7090–7093 (1994)

    Google Scholar 

  86. L. A. Nagahara, T. Thundat, S. M. Lindsay: Nanolithography on semiconductor surfaces under an etching solution, Appl. Phys. Lett. 57, 270–272 (1990)

    CAS  Google Scholar 

  87. T. Thundat, L. A. Nagahara, S. M. Lindsay: Scanning tunneling microscopy studies of semiconductor electrochemistry, J. Vacuum Sci. Tech. A 8, 539–543 (1990)

    CAS  Google Scholar 

  88. S. C. Minne, S. R. Manalis, A. Atalar, C. F. Quate: Independent parallel lithography using the atomic force microscope, J. Vacuum Sci. Tech. B 14, 2456–2461 (1996)

    CAS  Google Scholar 

  89. M. Lutwyche, C. Andreoli, G. Binnig, J. Brugger, U. Drechsler, W. Haeberle, H. Rohrer, H. Rothuizen, P. Vettiger: Microfabrication and parallel operation of 5*5 2D AFM cantilever arrays for data storage and imaging, Proc. MEMS, 8–11 (1998)

    Google Scholar 

  90. G. M. Whitesides, B. Grzybowski: Self-assembly at all scales, Science 295, 2418–2421 (2002)

    CAS  Google Scholar 

  91. P. Kazmaier, N. Chopra: Bridging size scales with self-assembling supramolecular materials, MRS Bull. 25, 30–35 (2000)

    CAS  Google Scholar 

  92. R. Plass, J. A. Last, N. C. Bartelt, G. L. Kellogg: Self-assembled domain patterns, Nature 412, 875 (2001)

    CAS  Google Scholar 

  93. Y. A. Vlasov, X-Z. Bo, J. G. Sturm, D. J. Norris: On-chip natural self-assembly of silicon photonic bandgap crystals, Nature 414, 289–293 (2001)

    CAS  Google Scholar 

  94. C. Gigault, K. Dalnoki-Veress, J. R. Dutcher: Changes in the morphology of self-assembled polystyrene microsphere monolayers produced by annealing, J. Colloid Interf. Sci. 243, 143–155 (2001)

    CAS  Google Scholar 

  95. J. C. Hulteen, P. Van Duyne: Nanosphere lithography: a materials general fabrication process for periodic particle array surfaces, J. Vacuum Sci. Tech. A 13, 1553–1558 (1995)

    Google Scholar 

  96. J. D. Joannopoulos, P. R. Villeneuve, S. Fan: Photonic crystals: putting a new twist on light, Nature 386, 143–149 (1997)

    CAS  Google Scholar 

  97. T. D. Clark, R. Ferrigno, J. Tien, K. E. Paul, G. M. Whitesides: Template-directed self-assembly of 10-μm-sized hexagonal plates, J. Am. Chem. Soc. 124, 5419–5426 (2002)

    CAS  Google Scholar 

  98. S. A. Sapp, D. T. Mitchell, C. R. Martin: Using template-synthesized micro- and nanowires as building blocks for self-assembly of supramolecular architectures, Chem. Mater. 11, 1183–1185 (1999)

    CAS  Google Scholar 

  99. Y. Yin, Y. Lu, B. Gates, Y. Xia: Template assisted self-assembly: a practical route to complex aggregates of monodispersed colloids with well-defined sizes, shapes and structures, J. Am. Chem. Soc. 123, 8718–8729 (2001)

    CAS  Google Scholar 

  100. J. L. Wilbur, G. M. Whitesides: Self-assembly and self-assembled monolayers in micro and nanofabrication. In: Nanotechnology, ed. by G. Timp (Springer, Berlin Heidelberg 1999)

    Google Scholar 

  101. S. R. Wasserman, Y. T. Tao, G. M. Whitesides: Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates, Langmuir 5, 1074–1087 (1989)

    CAS  Google Scholar 

  102. C. P. Tripp, M. L. Hair: An infrared study of the reaction of octadecyltrichlorosilane with silica, Langmuir 8, 1120–1126 (1992)

    CAS  Google Scholar 

  103. D. R. Walt: Nanomaterials: top-to-bottom functional design, Nature 1, 17–18 (2002)

    CAS  Google Scholar 

  104. J. Noh, T. Murase, K. Nakajima, H. Lee, M. Hara: Nanoscopic investigation of the self-assembly processes of dialkyl disulfides and dialkyl sulfides on Au(111), J. Phys. Chem. B 104, 7411–7416 (2000)

    CAS  Google Scholar 

  105. M. Himmelhaus, F. Eisert, M. Buck, M. Grunze: Self-assembly of n-alkanethiol monolayers: a study by IR-visible sum frequency spectroscopy (SFG), J. Phys. Chem. 104, 576–584 (1999)

    Google Scholar 

  106. A. K. Boal, F. Ilhan, J. E. DeRouchey, T. Thurn-Albrecht, T. P. Russell, V. M. Rotello: Self-assembly of nanoparticles into structures spherical and network aggregates, Nature 404, 746–748 (2000)

    CAS  Google Scholar 

  107. A. Ulman: An Introduction to Ultrathin Organic Films: From Langmuir-Blodgett to Self-Assembly (Academic, New York 1991)

    Google Scholar 

  108. E. Winfree, F. Liu, L. A. Wenzler, N. C. Seeman: Design and self-assembly of two-dimensional DNA crystals, Nature 394, 539–544 (1998)

    CAS  Google Scholar 

  109. J. H. Reif, T. H. LaBean, N. C. Seeman: Programmable assembly at the molecular scale: self-assembly of DNA lattices, Proc. 2001 IEEE Int. Conf. Robotics and Automation, Seoul, 966–971 (2001)

    Google Scholar 

  110. A. P. Alivisatos, K. P. Johnsson, X. Peng, T. E. Wilson, C. J. Loweth, M. P. Bruchez Jr, P. G. Schultz: Organization of nanocrystal molecules using DNA, Nature 382, 609–611 (1996)

    CAS  Google Scholar 

  111. C. Y. Cao, R. Jin, C. A. Mirkin: Nanoparticles with Raman spectroscopic fingerprints for DNA and RNA detection, Science 297, 1536–1540 (2002)

    CAS  Google Scholar 

  112. H. Masuda, H. Yamada, M. Satoh, H. Asoh: Highly ordered nanochannel-array architecture in anodic alumina, Appl. Phys. Lett. 71, 2770–2772 (1997)

    CAS  Google Scholar 

  113. R. L. Fleischer: Nuclear Tracks in Solids: Principles and Applications (Univ. California Press, Berkeley 1976)

    Google Scholar 

  114. R. E. Packard, J. P. Pekola, P. B. Price, R. N. R. Spohr, K. H. Westmacott, Y. Q. Zhu: Manufacture observation and test of membranes with locatable single pores, Rev. Sci. Instrum. 57, 1654–1660 (1986)

    CAS  Google Scholar 

  115. L. Sun, P. C. Searson, C. L. Chien: Electrochemical deposition of nickel nanowire arrays in single-crystal mica films, Appl. Phys. Lett. 74, 2803–2805 (1999)

    CAS  Google Scholar 

  116. Y. Du, W. L. Cai, C. M. Mo, J. Chen, L. D. Zhang, X. G. Zhu: Preparation and photoluminescence of alumina membranes with ordered pore arrays, Appl. Phys. Lett. 74, 2951–2953 (1999)

    CAS  Google Scholar 

  117. M. Guowen, C. Anyuan, C. Ju-Yin, A. Vijayaraghavan, J. J. Yung, M. Shima, P. M. Ajayan: Ordered Ni nanowire tip arrays sticking out of the anodic aluminum oxide template, J. Appl. Phys. 97, 64303 (2005)

    Google Scholar 

  118. S. Yang, H. Zhu, D. Yu, Z. Jin, S. Tang, Y. Du: Preparation and magnetic property of Fe nanowire array, J. Magn. Magn. Mater. 222, 97–100 (2000)

    CAS  Google Scholar 

  119. M. Sun, G. Zangari, R. M. Metzger: Cobalt island arrays with in-plane anisotropy electrodeposited in highly ordered alumina, IEEE Trans. Magnetics 36, 3005–3008 (2000)

    CAS  Google Scholar 

  120. P. V. Braun, P. Wiltzius: Electrochemically grown photonic crystals, Nature 402, 603–604 (1999)

    CAS  Google Scholar 

  121. S. R. Nicewarner-Pena, R. G. Freeman, B. D. Reiss, L. He, D. J. Pena, I. D. Walton, R. Cromer, C. D. Keating, M. J. Natan: Submicrometer metallic barcodes, Science 294, 137–141 (2001)

    CAS  Google Scholar 

  122. D. Almalawi, C. Z. Ziu, M. Moskovits: Nanowires formed in anodic oxide nanotemplates, J. Mater. Res. 9, 1014 (1993)

    Google Scholar 

  123. J. C. Hulteen, C. R. Martin: A general template-based method for the preparation of nanomaterials, J. Mater. Chem. 7, 1075–1087 (1997)

    CAS  Google Scholar 

  124. B. R. Martin, D. J. Dermody, B. D. Reiss, M. Fang, L. A. Lyon, M. J. Natan, T. E. Mallouk: Orthogonal self-assembly on colloidal gold-platinum nanorods, Adv. Mater. 11, 1021–1025 (1997)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Babak Ziaie Prof. , Antonio Baldi Prof. or Massood Atashbar Prof. .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag

About this entry

Cite this entry

Ziaie, B., Baldi, A., Atashbar, M. (2007). Introduction to Micro/Nanofabrication. In: Bhushan, B. (eds) Springer Handbook of Nanotechnology. Springer Handbooks. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-29857-1_7

Download citation

Publish with us

Policies and ethics