Skip to main content

Distributed Power Delivery with Ultra-Small LDO Regulators

  • Chapter
  • First Online:
On-Chip Power Delivery and Management

Abstract

The quality of the power supply in portable electronic systems can be efficiently addressed with POL distributed power delivery [191, 310], which requires the on-chip integration of multiple power supplies.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. I. Vaisband, E.G. Friedman, Heterogeneous methodology for energy efficient distribution of on-chip power supplies. IEEE Trans. Power Electron. 28(9), 4267–4280 (2013)

    Google Scholar 

  2. S. Kose, E.G. Friedman, Distributed on-chip power delivery. IEEE J. Emerg. Sel. Top. Circuits Syst. 2(4), 704–713 (2012)

    Google Scholar 

  3. S.R. Nassif, Power grid analysis benchmarks, in Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 376–381, Jan 2008

    Google Scholar 

  4. P. Hazucha, T. Karnik, B.A. Bloechel, C. Parsons, D. Finan, S. Borkar, Area-efficient linear regulator with ultra-fast load regulation. IEEE J. Solid-State Circuits 40(4), 933–940 (2005)

    Google Scholar 

  5. S. Kose, S. Tam, S. Pinzon, B. McDermott, E.G. Friedman, Active filter based hybrid on-chip DC-DC converters for point-of-load voltage regulation. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 21(4), 680–691 (2013)

    Google Scholar 

  6. M. Al-Shyoukh, H. Lee, R. Perez, A transient-enhanced low-quiescent current low-dropout regulator with buffer impedance attenuation. IEEE J. Solid-State Circuits 42(8), 1732–1742 (2007)

    Google Scholar 

  7. J. Guo, K.N. Leung, A 6-μW chip-area-efficient output-capacitorless LDO in 90-nm CMOS technology. IEEE J. Solid-State Circuits 45(9), 1896–1905 (2010)

    Google Scholar 

  8. Y.-H. Lee, S.-Y. Peng, C.-C. Chiu, A.C.-H. Wu, K.-H. Chen, Y.-H. Lin, S.-W. Wang, T.-Y. Tsai, C.-C. Huang, C.-C. Lee, A low quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40 nm SoC for MIPS performance improvement. IEEE J. Solid-State Circuits 48(4), 1018–1030 (2013)

    Google Scholar 

  9. S. Lai, B. Yan, P. Li, Stability assurance and design optimization of large power delivery networks with multiple on-chip voltage regulators, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 247–254, Nov 2012

    Google Scholar 

  10. S. Lai, B. Yan, P. Li, Localized stability checking and design of IC power delivery with distributed voltage regulators. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(9), 1321–1334 (2013)

    Google Scholar 

  11. A.J. D’Souza, R. Singh, J.R. Prabhu, G. Chowdary, A. Seedher, S. Somayajula, N.R. Nalam, L. Cimaz, S. Le Coq, P. Kallam, S. Sundar, S. Cheng, S. Tumati, W. Huang, A fully integrated power-management solution for a 65nm CMOS cellular handset chip, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 382–384, Feb 2011

    Google Scholar 

  12. J.F. Bulzacchelli et al., Dual-loop system of distributed microregulators with high DC accuracy, load response time below 500 ps, and 85-mV dropout voltage. IEEE J. Solid-State Circuits 47(4), 863–874 (2012)

    Google Scholar 

  13. F. Lima, A. Geraldes, T. Marques, J.N. Ramalho, P. Casimiro, Embedded CMOS distributed voltage regulator for large core loads, in Proceedings of the IEEE European Solid-State Circuits Conference, pp. 521–524, Sept 2003

    Google Scholar 

  14. K.N. Leung, P. K.T. Mok, A Capacitor-free CMOS low-dropout regulator with damping-factor-control frequency compensation. IEEE J. Solid-State Circuits 38(10), 1691–1702 (2003)

    Google Scholar 

  15. Y.-H. Lam, W.-H. Ki, A 0.9 V 0.35 μm adaptively biased CMOS LDO regulator with fast transient response, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 442–626, Feb 2008

    Google Scholar 

  16. P.Y. Or, K.N. Leung, An output-capacitorless low-dropout regulator with direct voltage-spike detection. IEEE J. Solid-State Circuits 45(2), 458–466 (2010)

    Article  Google Scholar 

  17. T.Y. Man, P.K.T. Mok, M. Chan, A high slew-rate push-pull output amplifier for low-quiescent current low-dropout regulators with transient-response improvement. IEEE Trans. Circuits Syst. II: Express Briefs 54(9), 755–759 (2007)

    Google Scholar 

  18. M.G. Degrauwe, J. Rijmenants, E.A. Vittoz, H.J. de Man, Adaptive biasing CMOS amplifiers. IEEE J. Solid-State Circuits 17(3), 522–528 (1982)

    Google Scholar 

  19. R.J. Milliken, J.S.-Martinez, E.S.-Sinencio, Full on-chip CMOS low-dropout voltage regulator. IEEE Trans. Circuits Syst. I: Regul. Pap. 54(9), 1879–1890 (2007)

    Google Scholar 

  20. K.N. Leung, Y.S. Ng, K.Y. Yim, P.Y. Or, An adaptive current-boosting voltage buffer for low-power low dropout regulators, in Proceeding of the IEEE Conference on Electron Devices and Solid-State Circuits, pp. 485–488, Dec 2007

    Google Scholar 

  21. M. Ho, K.N. Leung, K.-L. Mac, A low-power fast-transient 90-nm low-dropout regulator with multiple small-gain stages. IEEE J. Solid-State Circuits 45(11), 2466–2475 (2010)

    Google Scholar 

  22. G.A. Rincon-Mora P.E. Allen, A low-voltage, low quiescent current, low drop-out regulator. IEEE J. Solid-State Circuits 33(1), 36–44 (1998)

    Article  Google Scholar 

  23. T. Hattori et al., A power management scheme controlling 20 power domains for a single-chip mobile processor, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 542–543, Feb 2006

    Google Scholar 

  24. T. Dhaene, D.D. Zutter, Selection of lumped element models for coupled lossy transmission lines. IEEE Trans. Computer-Aided Des. Integr. Circuits Syst. 1(7), 805–815 (1992)

    Google Scholar 

  25. Z. Toprak-Deniz et al., Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TMmicroprocessor, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 98–99, Feb 2014

    Google Scholar 

  26. S. Bin Nasir, Y. Lee, A. Raychowdhury, Modeling and analysis of system stability in a distributed power delivery network with embedded digital linear regulators, in Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 68–75, Mar 2014

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Distributed Power Delivery with Ultra-Small LDO Regulators. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_18

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics