Skip to main content

Part of the book series: Springer Series in Reliability Engineering ((RELIABILITY))

Abstract

Flip Chip (FC) technology has been introduced for over 50 years (by IBM in the early 1960s), which is widely used for electronic packaging due to some benefits like smaller form factor, higher UPH (Units Per Hours), direct thermal dissipation path and good electronic performance. With the continued downscaling of device transistor dimension followed by the shrunk interconnection pitch, there are various interconnection types used in flip chip packages are also continuously developed for better reliability performance. As of now, these types have an evolution from C4 (Controlled Collapse of Chip Connection) to Cu pillar and further to micro-Cu pillar bumps. In general, flip chip interconnection using solder bump has an excellent yield due to the self-alignment characteristic of solder material. However, its high solder volume gives some design limitations and consideration for its reliability performance. From assembly perspective, the C4 interconnection with narrower pitch design is not recommended due to the larger space needed for the spherical solder ball, high bridging risk and the concern on effective current-flow path, which lead to Cu pillar bumps become the mainstream of a first-level, advanced FC package interconnect. Furthermore, the micro-Cu pillar bump (or called micro bump) for advanced interconnection pitch required for heterogeneous integration such as GPU (Graphics Processing Unit) and HBM (High Bandwidth Memory) in 2.5D packages, have been already applied for over 10 years. This Chapter will introduce these flip chip packaging technology, the relative assembly processes and the reliability challenges for memory relative packages.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Sun P, Xu C, Lid J, Geng F, Cao L (2016) Flip chip CSP assembly with Cu pillar bump and molded underfill. In: 2016 17th international conference on electronic packaging technology, pp 807–811

    Google Scholar 

  2. Lin YL, Liu CS, Yu D (2016) Ultra fine pitch/low cost FCCSP package and chip package interaction (CPI) for advanced CMOS nodes. In: 2016 IEEE 66th electronic components and technology conference, pp 595–599

    Google Scholar 

  3. Tsai WS, Huang CY, Chung CK, Yu KH, Lin CF (2017) Generational changes of flip chip interconnection technology. In: 2017 12th international microsystems, packaging, assembly and circuits technology conference (IMPACT), pp 306–310

    Google Scholar 

  4. Wang MH, Chen KY, Lin GH, Cheng F, Shih MK, Yen SF (2019) Strip warpage evaluation after FCB and molding procedure. In: 2019 IEEE CPMT symposium Japan (ICSJ), pp 205–208

    Google Scholar 

  5. Mesa ED, Wagner T, Keser B, Proschwitz J, Waidhas B (2022) Flip-chip chip scale package (FCCSP) process characterization and reliability of coreless thin package with 7 nm Si technology. In: 2022 IEEE 72nd electronic components and technology conference (ECTC), pp 266–270

    Google Scholar 

  6. Park JY, Kim YH, Na SH, Kim JY, Lee CH, Nicholls L (2015) High reliability packaging technologies and process for ultra low k flip chip devices. In: 2015 IEEE 65th electronic components and technology conference (ECTC), pp 1–6

    Google Scholar 

  7. Chen CY, Hsu I, Lin S, Kang KT, Hsieh MC (2018) Various chip attach evaluations in a fine bump pitch and substrate flip chip package. In: 2018 IEEE 68th electronic components and technology conference, pp 643–648

    Google Scholar 

  8. Chen CY, Hsu I, Lin S, Park DS, Hsieh MC (2018) Laser assisted bonding technology enabling fine bump pitch in flip chip package assembly. In: 2018 7th electronic system-integration technology conference (ESTC)

    Google Scholar 

  9. Ostrowicki GT, Gurum SP, Nangia A (2018) Correlated model for wafer warpage prediction of arbitrarily patterned films. In: 2018 IEEE 68th electronic components and technology conference, pp 2110–2114

    Google Scholar 

  10. Abdelnaby AH, Potirniche GP, Barlow F, Elshabini A, Groothuis S, Parker R (2013) Numerical simulation of silicon wafer warpage due to thin film residual stresses. In: 2013 IEEE workshop on microelectronics and electron devices (WMED)

    Google Scholar 

  11. Feger C, LaBianca N, Gaynes M, Steen S, Liu Z, Peddi R, Francis M (2009) The over-bump applied resin wafer-level underfill process: process, material and reliability. In: 2009 59th electronic components and technology conference, pp 1502–1505ss

    Google Scholar 

  12. Peng SL, Huang CY, Yang MH, Tseng S, Lai JY, Lu T, Chen HW, Chiu S, Chen S (2014) Integration study of die strength and various bumping volume and reliability performance on 2.5D silicon interposer assembly. In: 2014 IEEE 64th electronic components and technology conference (ECTC), pp 1–7

    Google Scholar 

  13. Islam N, Pandey V, Kim KO (2017) Fine pitch Cu pillar with bond on lead (BOL) assembly challenges for low cost and high performance flip chip package. In: 2017 IEEE 67th electronic components and technology conference, pp 102–107

    Google Scholar 

  14. Kim J, Lee S, Lee J, Jung S, Ryu C (2012) Warpage issues and assembly challenges using coreless package substrate. In: Proceedings of IPC APEX/EXPO

    Google Scholar 

  15. Kuo KH, Mao C, Wang K, Lee J, Chien FL, Lee R (2015) The impact and performance of electromigration on fine pitch cu pillar with different bump. In: 2015 IEEE 65th electronic components and technology conference, pp 626–631

    Google Scholar 

  16. Hsu I, Chen CY, Chen, Lin S, Yu TJ, Cho NJ, Hsieh MC (2019) 7 μm chip-package interaction study on a fine pitch flip chip package with laser assist bonding and mass reflow technology. In: 2019 IEEE 69th electronic components and technology conference (ECTC), pp 289–293

    Google Scholar 

  17. Na S, Gim M, Kim C, Park D, Ryu D, Park D, Khim J (2022) Next gen laser assisted bonding (LAB) technology. In: 2022 IEEE 72nd electronic components and technology conference (ECTC), pp 1991–1995

    Google Scholar 

  18. Lau JH (2017) Status and outlooks of flip chip technology. In: IPC EXPO proceedings, February 2017, pp 1–20

    Google Scholar 

  19. Nonaka T, Kobayashi Y, Asahi N, Niizeki S, Fujimaru K, Arai Y, Takegami T, Miyamoto Y, Nimura M, Niwa H (2014) High throughput thermal compression NCF bonding. In: 2014 IEEE 64th electronic components and technology conference (ECTC), pp 913–918

    Google Scholar 

  20. Asahi N, Miyamoto Y, Nimura M, Mizutani Y, Arai Y (2015) High productivity thermal compression bonding for 3D-IC. In: IEEE 2015 international 3D systems integration conference, pp TS7.3.1–TS7.3.5

    Google Scholar 

  21. Schiebel G, Munich SA (1992) Automatic gang bonding, the alternative process. In: 12th international electronic manufacturing technology symposium, pp 146–154

    Google Scholar 

  22. Hayashi N, Naito H, Osada O, Adachi Y, Kubota Y, Yoshifuku T, Atsumi K, Yamaya Y, Takeno S, Nakano H (1989) Development of TFT-LCD TAB modules. In: Proceedings Japan IEMT symposium, sixth IEEE/CHMT international electronic manufacturing technology symposium, pp 79–82

    Google Scholar 

  23. Ahn SG, Kim HK, Kim DW, Hiner D, Kim KS, Hwang TK, Lee MJ, Kang DBYJH (2016) Wafer level multi-chip gang bonding using TCNCF. In: 2016 IEEE 66th electronic components and technology conference, pp 122–127

    Google Scholar 

  24. Asahi N, Mizutani Y, Imai K, Tanaka H, Hashimoto Y, Jinda T, Kawakami M, Terada K (2018) Multi-chip gang bonding technology using the thermo-compression bonder for Si substrate. In: 2018 International conference on electronics packaging and iMAPS All Asia conference (ICEP-IAAC), pp 496–499

    Google Scholar 

  25. Tanaka H, Arai Y, Jinda T, Asahi N, Terada K (2019) Collective and gang bonding for three-dimensional integrated circuits in chip-on-wafer process. In: 2019 International 3D systems integration conference (3DIC)

    Google Scholar 

  26. Jung Y, Ryu D, Gim M, Kim C, Song Y, Kim J, Yoon J, Lee C (2016) Development of next generation flip chip interconnection technology using homogenized laser-assisted bonding. In: 2016 IEEE 66th electronic components and technology conference, pp 88–94

    Google Scholar 

  27. Kim C, Jung Y, Kim M, Yoon T, Song Y, Na S, Park D, Cho B, Kang D, Lim K, Khim J (2017) Development of extremely thin profile flip chip CSP using laser assisted bonding technology. In: 2017 IEEE CPMT symposium Japan (ICSJ), pp 45–49

    Google Scholar 

  28. Bae SJ, Park KI, Ihm JD, Song HY, Lee WJ, Kim HJ, Kim KH, Park YS, Park MS, Lee HK, Bang SY, Moon GS, Hwang SW, Cho YC, Hwang SJ, Kim DH, Lim JH, Kim JS, Kim SH, Jang SJ, Choi JS, Jun YH, Kim K, Cho SI (2008) An 80 nm 4 Gb/s/pin 32 bit 512 Mb GDDR4 graphics DRAM with low power and low noise data bus inversion. IEEE J Solid-state Circ 43(1):121–131

    Google Scholar 

  29. Orii Y, Toriyama K, Kohara S, Noma H, Okamoto K, Toyoshima D, Uenishi K (2011) Effect of preformed IMC layer on electromigration of peripheral ultra fine pitch C2 flip chip interconnection with solder capped cu pillar bump. In: 2011 6th international microsystems, packaging, assembly and circuits technology conference (IMPACT), pp 206–209

    Google Scholar 

  30. Jerhaoui O, Moreau S, Bouchu D, Romero G, Marseilhan D, Mourier T, Garnier A (2017) Quality and reliability assessment of Cu pillar bumps for fine pitch applications. In: 2017 IEEE 67th electronic components and technology conference, pp 1210–1218

    Google Scholar 

  31. Liu WW, Weng B, Chen S (2019) FCCSP IMC growth under reliability stress follows automotive criteria. PSU Res Rev 3(1):70–83

    Google Scholar 

  32. Yang RW, Chang YW, Sung WC, Chen C (2012) Precipitation of large Ag3Sn intermetallic compounds in SnAg2.5 microbumps after multiple reflows in 3D-IC packaging. Mater Chem Phys 134:340–344

    Google Scholar 

  33. Shimizu N, Kaneda W, Arisaka H, Koizumi N, Sunohara S, Rokugawa A, Koyama T (2013) Development of organic multi chip package for high performance application. In: 46th international symposium on microelectronics (IMAPS 2013), pp 000414–000419

    Google Scholar 

  34. Romero C, Lee J, Oh K, Harr K, Kweon Y (2014) A small feature-sized organic interposer for 2.1D packaging solutions. In: IMAPS 2014 proceedings, Oct 13–16, pp 000619–000623

    Google Scholar 

  35. Chen WC, Lee CW, Chung MH, Wang CC, Huang SK, Liao YS (2017) Novel ultra-fine line 2.1D package with organic interposer. In: IEEE CPMT symposium, Japan, 2017, pp 167–171

    Google Scholar 

  36. Morikawa Y, Murayama T, Sakuishi T, Sato M, Suzuki A (2017) High-density via fabrication technology solution for heterogeneous integration. In: 2017 Pan Pacific microelectronics symposium (Pan Pacific)

    Google Scholar 

  37. Uematsu Y, Ushifusa N, Onozeki H (2017) Electrical transmission properties of HBM interface on 2.1-D system in package using organic interposer. In: 2017 IEEE 67th electronic components and technology conference (ECTC), pp 1944–1949

    Google Scholar 

  38. Noma H, Okamoto K, Toriyama K, Mori H (2015) HAST failure investigation on ultra-high density lines for 2.1D packages. In: ICEPIAAC 2015 proceedings, pp 161–165

    Google Scholar 

  39. Kuo HC, Chu FC, Wang CC, Hung CP (2017) High impedance design and investigation using TDR for fine lines on high density organic substrate. In: ICEP 2017 proceedings, pp 278–281

    Google Scholar 

  40. Au KY, Che FX, Lin JK, Hsiao HY, Zhang X, Lim S, Aw JL, Chow A (2016) Thermal compression bonding of 30 μm pitch Cu pillar microbump on organic substrate with bare Cu bondpad. In: 2016 IEEE 66th electronic components and technology conference, pp 936–942

    Google Scholar 

  41. Huang CY, Xu YH, Lu YJ, Yu KH, Tsai WS, Lin CF, Chung CK (2018) Analysis of warpage and stress behavior in a fine pitch multi-chip interconnection with ultrafine-line organic substrate (2.1D). In: 2018 IEEE 68th electronic components and technology conference, pp 631–637

    Google Scholar 

  42. Miki S, Taneda H, Kobayashi N, Oi K, Nagai K, Koyama T (2019) Development of 2.3D high density organic package using low temperature bonding process with Sn-Bi solder. In: 2019 IEEE 69th electronic components and technology conference (ECTC), pp 1599–1604

    Google Scholar 

  43. Murayama K, Miki S, Sugahara H, Oi K (2020) Electro-migration evaluation between organic interposer and build-up substrate on 2.3D organic package. In: 2020 IEEE 70th electronic components and technology conference (ECTC), pp 716–722

    Google Scholar 

  44. Miki S, Kawakami K, Murayama K, Oi K (2021) Development of high reliability joint of Sn-Bi solder for 2.3D organic package. In: ICEP 2021 proceedings, pp 91–92

    Google Scholar 

  45. Kim J, Choi J, Kim S, Choi J, Park Y, Kim G, Park S, Oh H, Lee SW, Cho T, Kim DW (2021) Cost effective 2.3D packaging solution by using fan out panel level RDL. In: 2021 IEEE 71st electronic components and technology conference (ECTC), pp 310–314

    Google Scholar 

  46. Chen CF, Yang CL, Peng YJ, Tseng TJ, Lau JH, Huang YC, Liu HN, Li M (2022) 2.3D Hybrid Substrate with Ajinomoto build-up film for heterogeneous integration. In: 2022 IEEE 72nd electronic components and technology conference (ECTC), pp 30–37

    Google Scholar 

  47. Ma M, Chen S, Lai JY, Lu T, Chen A, Lin GT, Lu CH, Liu CH, Peng SL (2016) The development and technological comparison of various die stacking and integration options with TSV Si interposer. In: 2016 IEEE 66th electronic components and technology conference, pp 336–342

    Google Scholar 

  48. McCann S, Lee HH, Refai-Ahmed G, Lee T, Ramalingam S (2018) Warpage and reliability challenges for stacked silicon interconnect technology in large packages. In: 2018 IEEE 68th electronic components and technology conference, pp 2345–2350

    Google Scholar 

  49. Lee D, Yoon S, Jun J, Park J, Lee T, Kang UB, Lee J (2021) Improving flip chip process for large 2.5D molded interposer. In: 2021 IEEE 71st electronic components and technology conference (ECTC), pp 1026–1030

    Google Scholar 

  50. Nam S, Kim Y, Jang A, Hwang I, Park S, Lee SC, Kim DW (2021) The extremely large 2.5D molded interposer on substrate (MIoS) package integration—warpage and reliability. In: 2021 IEEE 71st electronic components and technology conference (ECTC), pp 1998–2002

    Google Scholar 

  51. Nam S, Kang J, Lee I, Kim Y, Yu HJ, Kim DW (2022) Investigation on package warpage and reliability of the large size 2.5D molded interposer on substrate (MIoS) package. In: 2022 IEEE 72nd electronic components and technology conference (ECTC), pp 643–647

    Google Scholar 

  52. Mahajan R, Sankman R, Patel N, Kim DW, Aygun K, Qian Z, Mekonnen Y, Salama I, Sharan S, Iyengar D, Mallik D (2016) Embedded multi-die interconnect bridge (EMIB)—a high density, high bandwidth packaging interconnect. In: 2016 IEEE 66th electronic components and technology conference, pp 557–565

    Google Scholar 

  53. Blythe D (2021) XeHPC Ponte Vecchio. In: 2021 IEEE hot chips 33 symposium (HCS)

    Google Scholar 

  54. Mahajan R, Qian Z, Viswanath RS, Srinivasan S, Aygün K, Jen WL, Sharan S, Dhall A (2019) Embedded multidie interconnect bridge—a localized, high-density multichip packaging interconnect. In: IEEE transactions on components, packaging and manufacturing technology, Vol 9, No 10, October 2019, pp 1952–1962

    Google Scholar 

  55. Lin J, Chung CK, Lin CF, Liao A, Lu YJ, Chen JS, Ng D (2020) Scalable chiplet package using fan-out embedded bridge. In: 2020 IEEE 70th electronic components and technology conference (ECTC), pp 14–18

    Google Scholar 

  56. Swaminathan R (2022) The next frontier: enabling Moore’s Law using heterogeneous integration. Chip Scale Revs

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chong Leong, Gan .

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Gan, C.L., Huang, CY. (2023). Advanced Flip Chip Packaging. In: Interconnect Reliability in Advanced Memory Device Packaging. Springer Series in Reliability Engineering. Springer, Cham. https://doi.org/10.1007/978-3-031-26708-6_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-26708-6_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-26707-9

  • Online ISBN: 978-3-031-26708-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics