Skip to main content

Abstract

In this chapter, recent works on analog integrated circuit (IC) sizing and layout automation are explored. It first starts with an overview of existing works where machine learning (ML) techniques are applied to analog ICs sizing. Then, the three major methodologies used in the automatic layout of analog integrated circuits are presented. These serve to contextualize the placement process and help to identify how data can be considered for its automation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 54.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 69.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. N. Lourenço, R. Martins, N. Horta, Automatic Analog IC Sizing and Optimization Constrained with PVT Corners and Layout Effects (Springer, 2017)

    Google Scholar 

  2. Cadence, Virtuoso Analog Design Environment GXL [Online]. Available: http://www.cadence.com. Accessed 15 May 2019

  3. MunEDA, WIKED™ [Online]. Available: http://www.muneda.com. Accessed am 15 May 2019

  4. R. Martins, N. Lourenco, N. Horta, J. Yin, P.-I. Mak, R.P. Martins, “Many-objective sizing optimization of a class-C/D VCO for ultralow-power IoT and ultralow-phase-noise cellular applications. IEEE Trans. Very Large Scale Integr. Syst. 27(1), 69–82 (2019)

    Article  Google Scholar 

  5. F. Passos et al., A multilevel bottom-up optimization methodology for the automated synthesis of RF systems. IEEE Trans. Comput. Des. Integr. Circ. Syst. (2019). https://doi.org/10.1109/TCAD.2018.2890528

    Article  Google Scholar 

  6. A. Canelas, et al., FUZYE: a fuzzy C-means analog IC yield optimization using evolutionary-based algorithms. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (2018). https://doi.org/10.1109/tcad.2018.2883978

  7. R. Gonzalez-Echevarria et al., An Automated design methodology of RF circuits by using pareto-optimal fronts of EM-simulated inductors. IEEE Trans. Comput. Des. Integr. Circ. Syst. 36(1), 15–26 (2017)

    Article  Google Scholar 

  8. R. Martins, N. Lourenço, F. Passos, R. Póvoa, A. Canelas, E. Roca, R. Castro-López, J. Sieiro, F.V. Fernandez, N. Horta, Two-step RF IC block synthesis with pre-optimized inductors and full layout generation in-the-loop. IEEE Trans. Comput. Des. Integr. Circ. Syst. (2018)

    Google Scholar 

  9. G. Wolfe, R. Vemuri, Extraction and use of neural network models in automated synthesis of operational amplifiers. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 22(2), 198–212 (2003)

    Article  Google Scholar 

  10. H. Liu, A. Singhee, R. A. Rutenbar, L.R. Carley, Remembrance of circuits past: macromodeling by data mining in large analog design spaces, in Proceedings 2002 Design Automation Conference (2002) . https://doi.org/10.1109/dac.2002.1012665

  11. N. Kahraman, T. Yildirim, Technology independent circuit sizing for fundamental analog circuits using artificial neural networks, in Ph.D. Research in Microelectronics and Electronics (2008)

    Google Scholar 

  12. E. Dumesnil, F. Nabki, M. Boukadoum, RF-LNA circuit synthesis using an array of artificial neural networks with constrained inputs. in Proceeding IEEE International Symposium on Circuits and Systems, vol. 2015-July (2015), pp. 573–576

    Google Scholar 

  13. N. Takai, M. Fukuda, Prediction of element values of OPAmp for required specifications utilizing deep learning, in International Symposium on Electronics and Smart Devices (ISESD) (2017)

    Google Scholar 

  14. R. Martins, N. Lourenço, N. Horta, Analog Integrated Circuit Design Automation—Placement, in Routing and Parasitic Extraction Techniques (Springer, 2017). ISBN 978-3-319-34060-9

    Google Scholar 

  15. D. Jepsen, C. Gelatt, Macro placement by Monte Carlo annealing, in IEEE International Conference on Computer Design (ICCD) (1983), pp. 495–498

    Google Scholar 

  16. J. Cohn, J. Garrod, R.A. Rutenbar, L. Carley, KOAN/ANAGRAM II: new tools for device-level analog placement and routing. IEEE J. Solid-State Circ. (JSSC) 26(3), 330–342 (1991)

    Article  Google Scholar 

  17. K. Lampaert, G. Gielen, W. Sansen, A performance-driven placement tool for analog integrated circuits. IEEE J. Solid-State Circ 30(7), 773–780 (1995)

    Article  Google Scholar 

  18. E. Malavasi, E. Charbon, E. Felt, A. Sangiovanni-Vincentelli, Automation of IC layout with analog constraints. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 15(8), 923–942 (1996)

    Article  Google Scholar 

  19. R. Martins, N. Lourenço, N. Horta, Analog IC placement using absolute coordinates and a hierarchical combination of Pareto optimal fronts, in 2015 11th Conference on Ph. D. Research in Microelectronics and Electronics (2015)

    Google Scholar 

  20. R. Martins, R. Póvoa, N. Lourenço, N. Horta, Current-flow & current-density-aware multi-objective optimization of analog IC placement. Integr., VLSI J. (2016). https://doi.org/10.1016/j.vlsi.2016.05.008

    Article  Google Scholar 

  21. R. Martins, N. Lourenço, R. Póvoa, N. Horta, On the exploration of design tradeoffs in analog ic placement with layout-dependent effects, in International Conference on SMACD ( Lausanne, Switzerland, 2019)

    Google Scholar 

  22. D.F. Wong, C.L. Liu, A new algorithm for floorplan design, in Proceedings of the 23th ACM/IEEE Design Automation Conference (DAC) (1986), pp. 101–107

    Google Scholar 

  23. H. Murata, K. Fujiyoshi, S. Nakatake, Kajitani. VLSI module placement based on rectangle-packing by the sequence-pair, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, no. 12 (1996), pp. 1518–1524

    Article  Google Scholar 

  24. Y. Pang, F. Balasa, K. Lampaert, C.-K. Cheng, Block placement with symmetry constraints based on the o-tree non-slicing representation, in Proceedings ACM/IEEE Design Automation Conference (2000), pp. 464–467

    Google Scholar 

  25. P.-N. Guo, C.-K. Cheng, T. Yoshimura, An O-tree representation of nonslicing floorplan and its applications, in Proceedings of the 36th ACM/IEEE Design Automation Conference (DAC) (1999), pp. 268–273

    Google Scholar 

  26. F. Balasa, S.C. Maruvada, K. Krishnamoorthy, On the exploration of the solution space in analog placement with symmetry constraints. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 23(2), 177–191 (2004)

    Article  Google Scholar 

  27. Y.-C. Chang, Y.-W. Chang, G.-M. Wu, S.-W. Wu, “B*-trees: A new representation for nonslicing floorplans, in Proceedings of the 37th ACM/IEEE Design Automation Conference (DAC) (2000), pp. 458–463

    Google Scholar 

  28. F. Balasa, S.C. Maruvada, K. Krishnamoorthy, Using red-black interval trees in device-level analog placement with symmetry constraints, in Proceedings of the Asian and South Pacific—Design Automation Conference (ASP-DAC) (2003), pp. 777–782

    Google Scholar 

  29. L. Jai-Ming, C. Yao-Wen, TCG: a transitive closure graph-based representation for non-slicing floorplans, in Proceedings of the 38th ACM/IEEE Design Automation Conference (DAC), pp. 764–769 (2001)

    Google Scholar 

  30. L. Lin, Y.-W. Chang, TCG-S orthogonal coupling of P-admissible representations for general floorplans. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 23(5), 968–980 (2004)

    Article  Google Scholar 

  31. L. Zhang, C.-J. Shi, Y. Jiang, Symmetry-aware placement with transitive closure graphs for analog layout design, in Proceeding IEEE/ACM Asia and South Pacific Design Automation Conference (2008), pp. 180–185

    Google Scholar 

  32. J.-M. Lin, G.-M. Wu, Y.-W. Chang, J.-H. Chuang, placement with symmetry constraints for analog layout design using TCG-S, in Proceeding IEEE/ACM Asia and South Pacific Design Automation Conference, vol. 2 (2005), pp. 1135–1138

    Google Scholar 

  33. P.-H. Lin, Y.-W. Chang, S.-C. Lin, Analog placement based on symmetry-island formulation. IEEE Trans. Comput. Aided Des. (TCAD) 28(6), 791–804 (2009)

    Article  Google Scholar 

  34. P.-H. Lin, S.-C. Lin, Analog placement based on novel symmetry-island formulation, in Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC) (2007), pp. 465–470

    Google Scholar 

  35. P.-H. Lin, S.-C. Lin, Analog placement based on hierarchical module clustering, in Proceedings of the 45th ACM/IEEE Design Automation Conference (DAC) (2008), pp. 50–55

    Google Scholar 

  36. B. Suman, P. Kumar, A survey of simulated annealing as a tool for single and multiobjective optimization. J. Oper. Res. Soc. 57, 1143–1160 (2006)

    Article  Google Scholar 

  37. Y. Yilmaz, G. Dundar, Analog Layout Generator for CMOS Circuits. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 28(1), 32–45 (2009)

    Article  Google Scholar 

  38. R. Martins, N. Lourenço, A. Canelas, N. Horta, Electromigration-aware and IR-Drop avoidance routing in analog multiport terminal structures, in 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE) (2014)

    Google Scholar 

  39. R. Martins, N. Lourenco, N. Horta, Routing analog ICs using a multi-objective multi-constraint evolutionary approachAnalog. Integr. Circ. Signal Process. 78(1), 123–135 (2013)

    Article  Google Scholar 

  40. R. Martins, N. Lourenço, N. Horta, Multi-objective multi-constraint routing of analog ICs using a modified NSGA-II approach, in International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD) (Seville, Spain, 2012), pp. 65–68

    Google Scholar 

  41. N. Jangkrajarng, S. Bhattacharya, R. Hartono, C. Shi, IPRAIL—Intellectual property reuse-based analog IC layout automation. Integr. VLSI J. 36(4), 237–262 (2003)

    Article  Google Scholar 

  42. S. Bhattacharya, N. Jangkrajarng, C. Shi, Multilevel symmetry-constraint generation for retargeting large analog layouts. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 25(6), 945–960 (2006)

    Article  Google Scholar 

  43. R. Martins, N. Lourenço, N. Horta, LAYGEN II—automatic analog ICs layout generator based on a template approach, in Genetic and Evolutionary Computation Conference (GECCO) (Philadelphia, USA, 2012)

    Google Scholar 

  44. R. Martins, A. Canelas, N. Lourenço, N. Horta, On-the-fly exploration of placement templates for analog IC layout-aware sizing methodologies, in 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD) (2016), pp. 1–4

    Google Scholar 

  45. R. Martins, N. Lourenço, A. Canelas, N. Horta, Stochastic-based placement template generator for analog IC layout-aware synthesis. Integr. VLSI J. 58, 485–495 (2017)

    Article  Google Scholar 

  46. P.H. Wu, M. P. H. Lin, T.Y. Ho, Analog layout synthesis with knowledge mining, in 2015 European Conference on Circuit Theory and Design (ECCTD) (2015), pp. 1–4

    Google Scholar 

  47. P.H. Wu, M.P.H. Lin, T.C. Chen, C.F. Yeh, X. Li, T.Y. Ho, A novel analog physical synthesis methodology integrating existent design expertise. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 34(2), 199–212 (2015)

    Article  Google Scholar 

  48. H.E. Graeb (ed.), Analog Layout Synthesis: A Survey of Topological Approaches (Springer, 2011)

    Google Scholar 

  49. M.P.-H. Lin, Y.-W. Chang, C.-M. Hung, Recent research development and new challenges in analog layout synthesis, in Asia and South Pacific Design Automation Conference (2016), pp. 617–622

    Google Scholar 

  50. M.V. Korovkin, V.L. Chechurin, M. Hayakawa, Inverse Problems in Electric Circuits and Electromagnetics (Springer, 2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to João P. S. Rosa .

Rights and permissions

Reprints and permissions

Copyright information

© 2020 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Rosa, J.P.S., Guerra, D.J.D., Horta, N.C.G., Martins, R.M.F., Lourenço, N.C.C. (2020). Related Work. In: Using Artificial Neural Networks for Analog Integrated Circuit Design Automation. SpringerBriefs in Applied Sciences and Technology. Springer, Cham. https://doi.org/10.1007/978-3-030-35743-6_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-35743-6_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-35742-9

  • Online ISBN: 978-3-030-35743-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics