Skip to main content
Log in

Routing analog ICs using a multi-objective multi-constraint evolutionary approach

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

This paper describes a new multi-objective multi-constraint routing approach integrated in LAYGEN II, an analog integrated circuit layout generator based on template descriptions and evolutionary computation techniques. The approach gives special emphasis to the reusability of expert design knowledge and to the efficiency on retargeting operations. In order to increase the quality of routing solution, first, the placer processes the floorplan, automatically merging devices. Then, for routing, an optimization kernel is used, which consists of a modified version of the multi-objective evolutionary algorithm, NSGA-II. The Router optimizes all nets simultaneously and uses a built-in engine to evaluate each of the layout solutions. The automatic routing generation is detailed, and LAYGEN II is demonstrated for the layout generation of typical analog circuit structures, for the UMC 130 nm design process, and the results are successfully validated using the industrial grade verification Calibre® tool.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19

Similar content being viewed by others

References

  1. Gielen, G. (2005). CAD tools for embedded analogue circuits in mixed-signal integrated systems on chip. IEE Proceeding on Computers and Digital Techniques, 152(3), 317–332.

    Article  Google Scholar 

  2. Rutenbar, R. (2010). Analog layout synthesis: What’s missing? In Proceedings of ACM/SIGDA ISPD (p. 43), January 2010.

  3. Graeb, H. E. (2012). ITRS 2011 analog EDA challenges and approaches. In Design, automation & test in Europe, March 2012.

  4. Fakhfakh, M., Tlelo-Cuautle, E., & Fernandez, F. V. (Eds.). (2012). Design of analog circuits through symbolic analysis. Sharjah: Bentham Science Publisher.

  5. Fakhfakh, M., Tlelo-Cuautle, E., & Castro-Lopez, R. (Eds.). (2013). Analog/RF and mixed-signal circuit systematic design. New York: Springer.

  6. Lipton, R. J., North, S. C., Valdes, J., Vijayan, G., & Sedgewick, R. (1982). ALI: A procedural language to describe VLSI layouts. In Proceedings of the 19th design automation conference (pp. 467–474).

  7. Meyer, V. (1993). ALSYN: Flexible rule-based layout synthesis for analog ICs. IEEE Journal of Solid-State Circuits, 28(3), 261–268.

    Article  Google Scholar 

  8. Dessouky, M., & Louerat, M. (2000). A layout approach for electrical and physical design integration of high-performance analog circuits. In Proceedings of IEEE international symposium on quality electronic design (pp. 291–298), March 2000.

  9. Vancorenland, P., der Plas, G. V., Steyaert, M., Gielen, G., & Sansen, W. (2001). A layout-aware synthesis methodology for RF circuits. In IEEE/ACM international conference on computer-aided design (ICCAD) (pp. 358–362), November 2001.

  10. Ranjan, M., Verhaegen, W., Agarwal, A., Sampath, H., Vemuri, R., & Gielen, G. (2004). Fast, layout inclusive analog circuit synthesis using pre-compiled parasitic-aware symbolic performance models. In Design automation conference and test in Europe conference (Vol. 1, pp. 604–609), February 2004.

  11. Castro-Lopez, R., Guerra, O., Roca, E., & Fernandez, F. (2008). An integrated layout-synthesis approach for analog ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(7), 1179–1189.

    Article  Google Scholar 

  12. Jangkrajarng, N., Bhattacharya, S., Hartono, R., & Shi, C. (2003). IPRAIL—Intellectual property reuse-based analog IC layout automation. Integration, VLSI Journal, 36(4), 237–262.

    Article  Google Scholar 

  13. Lourenço, N., Vianello, M., Guilherme, J., & Horta, N. (2006). LAYGEN—Automatic layout generation of analog ICs from hierarchical template descriptions. In Conference on Ph.D. research in microelectronics and electronics (pp. 213–216), June 2006.

  14. Zhang, L., Kleine, U., & Jiang, Y. (2006). An automated design tool for analog layouts. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(8), 881–894.

    Google Scholar 

  15. Yilmaz, E., & Dundar, G. (2009). Analog layout generator for CMOS circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(1), 32–45.

    Article  Google Scholar 

  16. Rijmenants, J., Litsios, J., Schwarz, T., & Degrauwe, M. (1989). Ilac: An automated layout tool for analog cmos circuits. IEEE Journal of Solid-State Circuits, 24(2), 417–425.

    Article  Google Scholar 

  17. Cohn, J. M., Garrod, D. J., Rutenbar, R. A., & Carley, L. R. (1991). KOAN/ANAGRAM II: New tools for device-level analog placement and routing. IEEE Journal of Solid-State Circuits, 26(3), 330–342.

    Article  Google Scholar 

  18. Lampaert, K., Gielen, G., & Sansen, W. (1995). A performance-driven placement tool for analog integrated circuits. IEEE Journal of Solid-State Circuits, 30(7), 773–780.

    Article  Google Scholar 

  19. Malavasi, E., Charbon, E., Felt, E., & Sangiovanni-Vincentelli, A. (1996). Automation of IC layout with analog constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 15(8), 923–942.

    Article  Google Scholar 

  20. Khademsameni, P., & Syrzycki, M. (2002). A tool for automated analog CMOS layout module generation and placement. IEEE Canadian Conference on Electrical and Computer Engineering, 1, 416–421.

    Google Scholar 

  21. Graeb, H. E. (Ed.). (2010) Analog layout synthesis: A survey of topological approaches. Berlin: Springer.

  22. Otten, R. H. (1982). Automatic floorplan design. In Proceedings of the 19th design automation conference (pp. 261–267).

  23. Unutulmaz, A., Dündar, G., & Fernández, F. V. (2011). LDS—A description script for layout templates. In European conference on circuit theory and design (ECCTD) (pp. 857–860), August 2011.

  24. Unutulmaz, A., Dundar, G., & Fernández, F. (2011). A template router. In 20th European conference on circuit theory and design (ECCTD) (pp. 334–337), August 2011.

  25. Yang, F., Yao, H., Zhou, Q., & Cai, Y. (2011). SIAR: Splitting-graph-based interactive analog router. In ACM great lakes symposium on VLSI (pp. 367–370), May 2011.

  26. Martins, R., Lourenço, N., & Horta, N. (2012). LAYGEN II: Automatic analog ICs layout generator based on a template approach. In Genetic and evolutionary computation conference (pp. 1127–1134), Philadelphia, USA, July 2012.

  27. Barros, M., Guilherme, J., & Horta, N. (2007). GA-SVM feasibility model and optimization kernel applied to analog IC design automation. In Proceedings of the 17th ACM Great Lakes symposium on VLSI (pp. 469–472), March 2007.

  28. Barros, M., Guilherme, J., & Horta, N. (2010). Analog circuits and systems optimization based on evolutionary computation techniques. In Studies in computational intelligence (Vol. 294). New York: Springer.

  29. Barros, M., Guilherme, J., & Horta, N. (2009). Analog circuits optimization based on evolutionary computation techniques. Integration, the VLSI Journal, 43(1), 136–155.

    Article  Google Scholar 

  30. Lourenço, N., & Horta, N. (2012). GENOM-POF: Multi-objective evolutionary synthesis of analog ICs with corners validation. In Genetic and evolutionary computation conference (pp. 1119–1126), Philadelphia, USA, July 2012.

  31. Mentor Graphics. http://www.mentor.com/.

  32. Chang, Y.-C., Chang, Y.-W., Wu, G.-M., & Wu, S.-W. (2000). B-trees: A new representation for nonslicing floorplans. In Proceedings of 37th ACM/IEEE design automation conference (pp. 458–463).

  33. Balasa, F., Maruvada, S. C., & Krishnamoorthy, K. (2003). Using red-black interval trees in device-level analog placement with symmetry constraints. In Proceedings of the Asia and South Pacific design automation conference (pp. 777–782), January 2003.

  34. Deb, K., Pratap, A., Agarwal, S., & Meyarivan, T. (2002). A fast and elitist multiobjective genetic algorithm: NSGA-II. IEEE Transactions on Evolutionary Computation, 6(2), 182–197.

    Article  Google Scholar 

  35. Nowacki, B., Paulino, N., & Goes, J. (2011). A 1.2 V 300 μW second-order switched-capacitor Δ∑ modulator using ultra incomplete settling with 73 dB SNDR and 300 kHz BW in 130 nm CMOS. In Proceedings of the ESSCIRC (pp. 271–274), October 2011.

Download references

Acknowledgments

The authors would like to thank Prof. João Goes and Prof. Jorge Guilherme for their valuable suggestions as expert analog IC designers. This work was supported in part by the Instituto de Telecomunicações (Research project AIDA IT/LA/1112/2011) and by the Fundação para a Ciência e Tecnologia (Research project DISRUPTIVE EXCL/EEI-ELC/0261/2012, Grant FCT-SFRH/BD/86608/2012 and Grant FCT-DFRH-SFRH/BD/72698/2010).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to N. Horta.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Martins, R., Lourenço, N. & Horta, N. Routing analog ICs using a multi-objective multi-constraint evolutionary approach. Analog Integr Circ Sig Process 78, 123–135 (2014). https://doi.org/10.1007/s10470-013-0088-9

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-013-0088-9

Keywords

Navigation