Skip to main content

Aging Mitigation Techniques for Microprocessors Using Anti-aging Software

  • Chapter
  • First Online:
Ageing of Integrated Circuits

Abstract

In this chapter, we will aim to reverse the aging stress on the functional units of the processor by applying high-level workloads as anti-aging patterns into the stressed component. We present a time-redundant technique to mitigate negative and positive bias temperature instability (NBTI/PBTI) aging effects on the combinational units of a processor. We have analysed the sources and effects of aging from the device level to the instruction set architecture (ISA) level and have found that an application may stress the critical paths in such a way that the combinational circuit has half of its nodes always NBTI-stressed. To mitigate this behaviour, we propose an application-level solution to balance the stress and put the timing-critical gates of the critical path into a relaxed (balanced) mode. The results show that the lifetime of the system can be extended by applying balanced stress patterns at a higher level of abstraction and during the idle time of a processor system.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 69.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 89.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 119.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The technology is not important because the technique depends on reversing the SP(0) rather than estimating the aging. Hence, it is also independent of the BTI model.

References

  1. Arora, M., Manne, S., Paul, I., Jayasena, N., & Tullsen, D. M. (2015). Understanding idle behavior and power gating mechanisms in the context of modern benchmarks on cpu-gpu integrated systems. In 2015 IEEE 21st international symposium on high performance computer architecture (HPCA) (pp. 366–377). IEEE.

    Google Scholar 

  2. Di Carlo, S., Gaudesi, M., Sanchez, E., & SonzaReorda, M. (2014. ISSN 1573-0727). A functional approach for testing the reorder buffer memory. Journal of Electronic Testing, 30(4), 469–481. https://doi.org/10.1007/s10836-014-5461-9.

    Article  Google Scholar 

  3. Barrett, S. F., & Pack, D. J. (2005). Microcontrollers fundamentals for engineers and scientists. Synthesis Lectures on Digital Circuits and Systems, 1(1), 1–124.

    Article  Google Scholar 

  4. James FCox. Fundamentals of linear electronics: Integrated and discrete. Cengage Learning,2002.

    Google Scholar 

  5. Firouzi, F., Kiamehr, S., & Tahoori, M. B. NBTI mitigation by optimized NOP assignment and insertion. 2012 Design, Automation&Test in Europe Conference & Exhibition (DATE), 218–223. ISSN 15301591. https://doi.org/10.1109/DATE.2012.6176465.

  6. Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., & Brown, R. B. (2001). Mibench: A free, commercially representative embedded benchmark suite. In Workloadcharacterization, 2001. WWC-4. 2001 IEEE international workshop on (pp. 3–14). IEEE.

    Google Scholar 

  7. Binkert, N., Beckmann, B., Black, G., hardt, S. K. R., Saidi, A., Basu, A., Hestness, J., Hower, D. R., Krishna, T., Sardashti, S., et al. (2011). The gem5 simulator. ACM SIGARCH Computer Architecture News, 39(2), 1–7.

    Article  Google Scholar 

  8. Karimi, N., Karthik Kanuparthi, A., Wang, X., Sinanoglu, O., & Karri, R. (2015). Magic: Malicious aging in circuits/cores. ACM Transactions on Architecture and Code Optimization (TACO), 12(1), 5.

    Google Scholar 

  9. Lorenz, D., Georgakos, G., & Schlichtmann, U. (2009). Aging analysis of circuit timing considering NBTI and HCI. In On-line testing symposium, 2009. IOLTS 2009. 15th IEEE international (pp. 3–8). IEEE.

    Google Scholar 

  10. Abella, J., Vera, X., & Gonzalez, A. (2007). Penelope: The NBTI- aware processor. In Proceedings of the 40th annual IEEE/ACM international symposium on microarchitecture (pp. 85–96). IEEE Com- puter Society.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Haider Muhi Abbas .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Abbas, H.M., Zwolinski, M., Halak, B. (2020). Aging Mitigation Techniques for Microprocessors Using Anti-aging Software. In: Halak, B. (eds) Ageing of Integrated Circuits. Springer, Cham. https://doi.org/10.1007/978-3-030-23781-3_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-23781-3_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-23780-6

  • Online ISBN: 978-3-030-23781-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics