Skip to main content

Mixed-Mode BIST Using Embedded Processors

  • Chapter
On-Line Testing for VLSI

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 11))

  • 174 Accesses

Abstract

In complex systems, embedded processors may be used to run software routines for test pattern generation and response evaluation. For system components which are not completely random pattern testable, the test programs have to generate deterministic patterns after random testing. Usually the random test part of the program requires long run times whereas the part for deterministic testing has high memory requirements.

In this paper it is shown that an appropriate selection of the random pattern test method can significantly reduce the memory requirements of the deterministic part. A new, highly efficient scheme for software-based random pattern testing is proposed, and it is shown how to extend the scheme for deterministic test pattern generation. The entire test scheme may also be used for implementing a scan based BIST in hardware.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. A. Flint, “Multichip Module Self-Test Provides Means to Test at Speed,” EE-Evaluation Engineering, pp. 46–55, Sept. 1995.

    Google Scholar 

  2. S.B. Akers and W. Jansz, “Test Set Embedding in a Built-in Self-Test Environment,” Proc. IEEE Int. Test Conf, Washington, DC, 1989, pp. 257–263.

    Google Scholar 

  3. R. Bardell, W.H. McAnney, and J. Savir, Built-in Test for VLSI, Wiley-Interscience, New York, 1987.

    Google Scholar 

  4. Z. Barzilai, D. Coppersmith, and A.L. Rosenberg, “Exhaustive Generation of Bit Patterns with Applications to VLSI Self-Testing,” IEEE Trans. on Comp., Vol. C-32, No. 2, pp. 190–194, Feb. 1983.

    Google Scholar 

  5. F. Brglez et al., “Hardware-Based Weighted Random Pattern Generation for Boundary-Scan,” Proc. IEEE Int. Test Conf., Washington, DC, 1989, pp. 264–274.

    Google Scholar 

  6. M. Chatterjee and D.K. Pradhan, “A New Pattern Biasing Technique for BIST,” Proc. of VLSI Test Symp., 1995, pp. 417–425.

    Google Scholar 

  7. C. Dufaza, H. Viallon, and C. Chevalier, “BIST Hardware Generator for Mixed Test Scheme,” Proc. Europ. Design and Test Conf., Paris, 1995.

    Google Scholar 

  8. B. Koenemann, “LFSR-Coded Test Pattems for Scan Designs,” Proc. Europ. Test Conf., Munich, 1991, pp. 237–242.

    Google Scholar 

  9. N.A. Touba and E.J. McCluskey, “Synthesis of Mapping Logic for Generating Transformed Pseudo-Random Patterns for BIST,” Proc. IEEE Int. Test Conf, Washington, DC, 1995, pp. 674–682.

    Google Scholar 

  10. E.J. McCluskey and L.T. Wang, “Circuits for Pseudo-Exhaustive Test Pattern Generation,” Proc. IEEE Int. Test Conf, Washington, DC, 1986, pp. 25–37.

    Google Scholar 

  11. H.-J. Wunderlich, “Self Test Using Unequiprobable Random Patterns,” Proc. IEEE 17th Int. Symp. on Fault-Tolerant Computing, FTCS-17, Pittsburgh 1987, pp. 258–263.

    Google Scholar 

  12. H.-J. Wunderlich, “Multiple Distributions for Biased Random Test Patterns,” Proc. IEEE Int. Test Conf, Washington, DC, 1988, pp. 236–244.

    Google Scholar 

  13. S. Hellebrand, S. Tamick, J. Rajski, and B. Courtois, “Generation of Vector Patterns Through Reseeding of Multiple-Polynomial Linear Feedback Shift Registers,” Proc. IEEE Int. Test Conf, Baltimore, 1992, pp. 120–129.

    Google Scholar 

  14. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman, and B. Courtois, “Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers,” IEEE Trans. on Comp., Vol. 44, No. 2, pp. 223–233, Feb. 1995.

    Article  MATH  Google Scholar 

  15. N. Zacharia, J. Rajski, and J. Tyszer, “Decompression of Test Data Using Variable-Length Seed LFSRs,” Proc. 13th VLSI Test Symp., 1995, pp. 426–433.

    Google Scholar 

  16. S. Gupta, J. Rajski, and J. Tyszer, “Test Pattern Generation Based On Arithmetic Operations,” Proc. Int. Conf. on Computer-Aided Design, San Jose, CA, 1994, pp. 117–124.

    Google Scholar 

  17. N. Mukherjee, M. Kassab, J. Rajski, and J. Tyszer. Rajski, and J. Tyszer, “Accumulator Built-In Self Test for High-Level Synthesis,” VLSI Test Symp., 1995, pp. 132–139.

    Google Scholar 

  18. A.P. Stroele, “A Self-Test Approach Using Accumulators as Test Pattern Generators,” Proc. Int. Symp. on Circuits and Systems, 1995, pp. 2120–2123.

    Google Scholar 

  19. I. Voyiatzis, A. Paschalis, D. Nikolos, and C. Halatsis, “Accumulator-Based BIST Approach for Stuck-Open and Delay Fault Testing,” Proc. Europ. Design and Test Conf, Paris, 1995, pp. 431–435.

    Google Scholar 

  20. H. Higuchi, N. Ishiura, and S. Yajima, “Compaction of Test Sets Based on Symbolic Fault Simulation,” Synthesis and Simulation Meeting and Int. Interchange, 1992, pp. 253–262.

    Google Scholar 

  21. S. Kajihara, I. Pomeranz, K. Kinoshita, and S.M. Reddy, “Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits,” Proc. 30th ACM/IEEE Design Automation Conf., 1993, pp. 102–106.

    Google Scholar 

  22. L.N. Reddy, I. Pomeranz, and S.M. Reddy, “ROTCO: A Reverse Order Test Compaction Technique,” Proc. IEEE EURO-ASIC Conf, Sept. 1992, pp. 189–194.

    Google Scholar 

  23. G. Tromp, “Minimal Test Sets for Combinational Circuits,” Proc. IEEE Int. Test Conf, Nashville, TN, 1991, pp. 204–209.

    Google Scholar 

  24. S. Hellebrand, B. Reeb, S. Tarnick, and H.-J. Wunderlich, “Pattern Generation for a Deterministic BIST Scheme,” Proc. IEEE/ACM Int. Conf. on CAD-95, San Jose, CA, Nov. 1995, pp. 88–94.

    Google Scholar 

  25. S. Hellebrand, H.-J. Wunderlich, and A. Herwig, “Mixed-Mode BIST Using Embedded Processors,” Proc. IEEE Int. Test Conf, Washington, DC, 1996, pp. 195–204.

    Google Scholar 

  26. S.W. Golomb, Shift Register Sequences, Holden-Day, San Francisco, 1967.

    MATH  Google Scholar 

  27. E.B. Eichelberger and E. Lindbloom, “Random-Pattern Coverage Enhancement and Diagnosis for LSSD Logic Self-Test,” IBM Journal or Research and Development, Vol. 27, No. 3, May 1983.

    Google Scholar 

  28. P.D. Hortensius, R.D. McLeod, W. Pries, D.M. Miller, and H.C. Card, “Cellular Automata-Based Pseudorandom Number Generators for Built-In Self-Test,” IEEE Trans. on CAD, Vol. 8, No. 8, pp. 842–859, Aug. 1989.

    Google Scholar 

  29. B. Koenemann, J. Mucha, and G. Zwiehoff, “Built-In Logic Block Observation Techniques,” Proc. Test Conf., Cherry Hill, NJ, 1979, pp. 37–41.

    Google Scholar 

  30. F. Brglez and H. Fujiwara, “A Neutral Netlist of 10 Combinational Benchmark Designs and a Special Translator in Fortran,” IEEE Int. Symp. on Circuits and Systems, Kyoto, 1985.

    Google Scholar 

  31. F. Brglez, D. Bryan, and K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits,” Proc. IEEE Int. Symp. on Circuits and Systems, 1989, pp. 1929–1934.

    Google Scholar 

  32. Savir and W.H. McAnney, “A Multiple Seed Linear Feedback Shift Register,” IEEE Trans. on Comp., Vol. 41, No. 2, pp. 250252, Feb. 1992.

    Google Scholar 

  33. M. Schulz and E. Auth, “Advanced Automatic Test Generation and Redundancy Identification Techinques,” Proc. 18th Int. Symp. on Fault-Tolerant Computing, Tokyo, 1988, pp. 30–35.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1998 Springer Science+Business Media New York

About this chapter

Cite this chapter

Hellebrand, S., Wunderlich, HJ., Hertwig, A. (1998). Mixed-Mode BIST Using Embedded Processors. In: Nicolaidis, M., Zorian, Y., Pradan, D.K. (eds) On-Line Testing for VLSI. Frontiers in Electronic Testing, vol 11. Springer, Boston, MA. https://doi.org/10.1007/978-1-4757-6069-9_12

Download citation

  • DOI: https://doi.org/10.1007/978-1-4757-6069-9_12

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-5033-8

  • Online ISBN: 978-1-4757-6069-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics