Skip to main content

Net-by-Net Wire Optimization

  • Chapter
  • First Online:
Multi-Net Optimization of VLSI Interconnect

Abstract

The basic ideas for the optimization of a signal net are briefly reviewed in this chapter. To begin with, there is the delay optimization of a simple point-to-point wire driven by a single logic stage, considering the effects of wire capacitance and wire resistance (Fig. 5.1a). Next, the extended problem of optimizing a multistage logic path (which includes several point-to-point wires) is examined (Fig. 5.1b). Finally, the more general problem where the point-to-point wire segments are replaced by multisink interconnect trees is presented (Fig. 5.1c).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 79.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Adler, Victor, and Eby G. Friedman. “Repeater design to reduce delay and power in resistive interconnect.” Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on 45.5 (1998): 607–616.

    Article  Google Scholar 

  2. Yoni Aizik, Avinoam Kolodny: Finding the Energy Efficient Curve: Gate Sizing for Minimum Power under Delay Constraints. VLSI Design (2011).

    Google Scholar 

  3. Amrutur, Bharadwaj S., and Mark A. Horowitz. "Fast low-power decoders for RAMs." Solid-State Circuits, IEEE Journal of 36.10 (2001): 1506–1515.

    Article  Google Scholar 

  4. H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI. Reading, MA: Addison-Wesley, 1990.

    Google Scholar 

  5. K. Banerjee and A. Mehrotra, “A power-optimal repeater insertion methodology for global interconnects in nanometer designs,” IEEE Trans. Electron Devices, vol. 49, pp. 2001–2007, Nov. 2002.

    Google Scholar 

  6. R. Chen and H. Zhou, “An Efficient Data Structure for Maxplus Merge in Dynamic Porgramming”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2005, pp. 3004–3009.

    Google Scholar 

  7. Chen, C. P., Chen, Y. P., & Wong, D. F. (1996, June). Optimal wire-sizing formula under the Elmore delay model. In Proceedings of the 33rd annual Design Automation Conference (pp. 487–490). ACM.

    Google Scholar 

  8. Chen, Chung-Ping, and D. F. Wang. “A fast algorithm for optimal wire-sizing under Elmore delay model.” Circuits and Systems, 1996. ISCAS'96., Connecting the World., 1996 I.E. International Symposium on. Vol. 4. IEEE, 1996.

    Google Scholar 

  9. C.-K. Cheng, J. Lillis, S. Lin and N.H. Chang, Interconnect Analysis and Synthesis, John Wiley Press, 2000

    Google Scholar 

  10. Cong, J., & Leung, K. S. (1993, November). Optimal wiresizing under the distributed Elmore delay model. In Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design (pp. 634–639). IEEE Computer Society Press.

    Google Scholar 

  11. T. Cormen, C. Leiserson, R. Rivest and C. Stein, Introduction to Algorithms, The MIT Press, 2005.

    Google Scholar 

  12. El-Moursy, M. A., & Friedman, E. G. (2004). "Optimum wire sizing of RLC interconnect with repeaters", INTEGRATION, the VLSI journal, 38(2), 205–225.

    Article  Google Scholar 

  13. Fishburn, J.P.; Schevon, C.A., "Shaping a distributed-RC line to minimize Elmore delay," Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions on , vol. 42, no. 12, pp. 1020–1022, Dec 1995. doi: 10.1109/81.481198.

    Google Scholar 

  14. Gao, Y., & Wong, D. F. (1999). Wire-sizing optimization with inductance consideration using transmission-line model. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 18(12), 1759–1767.

    Article  Google Scholar 

  15. Kapur, Pawan, Gaurav Chandra, and Krishna C. Saraswat. "Power estimation in global interconnects and its reduction using a novel repeater optimization methodology." Proceedings of the 39th annual Design Automation Conference. ACM, 2002.

    Google Scholar 

  16. Karami, M. A., & Afzali-Kusha, A. (2006, December). Exponentially tapering ground wires for Elmore delay reduction in on chip interconnects. In Microelectronics, 2006. ICM'06. International Conference on (pp. 99–102). IEEE.

    Google Scholar 

  17. Li, Zhuo, and Weiping Shi. “An O (bn< sup> 2</sup>) time algorithm for optimal buffer insertion with b buffer types.” Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 25.3 (2006): 484–489.

    Article  Google Scholar 

  18. Li, Zhuo, and Weiping Shi. “An O (mn) time algorithm for optimal buffer insertion of nets with m sinks.” Design Automation, 2006. Asia and South Pacific Conference on. IEEE, 2006.

    Google Scholar 

  19. Lillis, J., Cheng, C. K., & Lin, T. T. Y. (1995, May). Optimal and efficient buffer insertion and wire sizing. In Custom Integrated Circuits Conference, 1995., Proceedings of the IEEE 1995 (pp. 259–262). IEEE.

    Google Scholar 

  20. Lillis, J., Cheng, C. K., & Lin, T. T. (1996). Optimal wire sizing and buffer insertion for low power and a generalized delay model. Solid-State Circuits, IEEE Journal of, 31(3), 437–447.

    Article  Google Scholar 

  21. Morgenshtein, A., Friedman, E. G., Ginosar, R., & Kolodny, A. (2010). Unified Logical Effort—A Method for Delay Evaluation and Minimization in Logic Paths With. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 18(5), 689–696.

    Article  Google Scholar 

  22. Roy, R.; Olver, F. W. J. (2010), "Lambert W function", in Olver, Frank W. J.; Lozier, Daniel M.; Boisvert, Ronald F. et al., NIST Handbook of Mathematical Functions, Cambridge University Press, ISBN 978–0521192255, MR2723248.

    Google Scholar 

  23. Sakurai, T.; and Tamaru, K.: Simple formulas for two- and three-dimensional capacities. IEEE Transactions on Electron Devices ED-30(2), (1983).

    Google Scholar 

  24. Sapatnekar, Sachin. Timing. Springer, 2004.

    Google Scholar 

  25. Sedra, Adel S., and Kenneth Carless Smith. Microelectronic circuits. Vol. 4. Oxford University Press, 1987.

    Google Scholar 

  26. Shi, Weiping, and Zhuo Li. "A fast algorithm for optimal buffer insertion." Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 24.6 (2005): 879–891.

    Article  Google Scholar 

  27. Sutherland, I. E., Sproull, R. F., & Harris, D. F. (1999). Logical effort: designing fast CMOS circuits. Morgan Kaufmann.

    Google Scholar 

  28. D. Sylvester and K. Keutzer, “Getting to the bottom of deep submicron”, Proc. ICCAD, pp. 203–211, 1998.

    Google Scholar 

  29. L.P.P.P van Ginneken, “Buffer Placement in Distributed RC-Tree Networks for Minimal Elmore Delay,” Proc. International Symposium on Circuits and Systems, pp. 865–868, 1990.

    Google Scholar 

  30. Venkat, Kumar. “Generalized delay optimization of resistive interconnections through an extension of logical effort.” Circuits and Systems, 1993., ISCAS'93, 1993 I.E. International Symposium on. IEEE, 1993.

    Google Scholar 

  31. N. Weste and D. Harris, CMOS VLSI Design: A Circuits and Systems Perspective (3rd Edition), Addison Wesley, 2004.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Moiseev, K., Kolodny, A., Wimer, S. (2015). Net-by-Net Wire Optimization. In: Multi-Net Optimization of VLSI Interconnect. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0821-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0821-5_5

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0820-8

  • Online ISBN: 978-1-4614-0821-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics