Skip to main content

Power Management Architecture in McNoC

  • Chapter
  • First Online:
Scalable Multi-core Architectures

Abstract

In this chapter we present the power management architecture of the McNoC platform. The power management architecture of McNoC offers distributed Dynamic Voltage Frequency Scaling (DVFS) and power down services to the platform at a fine level of granularity, allowing independent setting of frequency and supply voltage to all switch and resource nodes in the platform. The design style enables hierarchical physical design and solves the clock-domain-crossing problem with a solution based on rationally-related frequencies, which avoids the overhead associated with handshake. The architecture allows arbitrary power management regions to be defined and region-wide power management commands affecting all nodes in a region can be issued by the software layer that we call as Power Management Intelligence (PMINT).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. International Technology Roadmap for Semiconductors Report, 2009

    Google Scholar 

  2. J. M. Rabaey, “Digital Integrated Circuits: A Design Perspective,” Prentice Hall, 1995

    Google Scholar 

  3. A. P. Niranjan and P. Wiscombe, “Islands of synchronicity, a design methodology for SoC design,” Design, Automation and Test in Europe Conference and Exhibition, 2004

    Google Scholar 

  4. S. Herbert and D. Marculescu, “Analysis of Dynamic Voltage/Frequency Scaling in Chip-Multiprocessors,” ISLPED 2007

    Google Scholar 

  5. P. Teehan et al., “A Survey and Taxonomy of GALS Design Styles,” Design & Test of Computers, IEEE, vol.24, no.5, pp.418-428, Sept.-Oct. 2007

    Google Scholar 

  6. Nostrum home page - http://www.ict.kth.se/nostrum

  7. E. Nilsson, “Design and implementation of a hot-potato switch in a network on chip,” Master’s thesis, Department of Microelectronics and Information Technology, KTH, 2002

    Google Scholar 

  8. A. Hemani et al., “Lowering power consumption in clock by using globally asynchronous locally synchronous design style,” Design Automation Conference, 1999

    Google Scholar 

  9. I. E. Sutherland and J. Ebergen, “Computers Without Clocks,” Scientific American, Aug. 2002

    Google Scholar 

  10. S. Borkar, “Does asynchronous logic design really have a future?,” EE Times, 2003

    Google Scholar 

  11. D. M. Chapiro, “Globally Asynchronous Locally-Synchronous Systems,” PhD thesis, Stanford University, Oct. 1984

    Google Scholar 

  12. K. Y. Yun and R. P. Donohue, “Pausible clocking: a first step toward heterogeneous systems,” IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1996

    Google Scholar 

  13. J. Muttersbach et al., “Practical design of globally-asynchronous locally-synchronous systems,” International Symposium on Advanced Research in Asynchronous Circuits and Systems, 2000

    Google Scholar 

  14. J. M. Chabloz and A. Hemani, “A Flexible Interface for Rationally-Related Frequencies,” ICCD 2009

    Google Scholar 

  15. J. M. Chabloz and A. Hemani, “Distributed DVFS with Rationally-Related Frequencies and Quantized Voltage Levels,” ISLPED 2010

    Google Scholar 

  16. L. H. Chandrasena et al., “An Energy Efficient Rate Selection Algorithm for Voltage Quantized Dynamic Voltage Scaling,” ISSS 2001

    Google Scholar 

  17. M. Putic et al., “Panoptic DVS: A Fine-Grained Dynamic Voltage Scaling Framework for Energy Scalable CMOS Design,” ICCD 2009

    Google Scholar 

  18. E. Beigne et al. “Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC,” NOCS 2008

    Google Scholar 

  19. Cadence SoC Encounter User Guide

    Google Scholar 

  20. V. Gutnik and A. Chandrakasan, “Embedded power supply for low-power DSP,” in IEEE Transactions on VLSI Systems, 1997

    Google Scholar 

  21. J. M. Chabloz and A. Hemani, “Lowering the Latency of Interfaces for Rationally-Related Frequencies,” ICCD 2010

    Google Scholar 

  22. I. Miro Panades et al., “Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture,” NoCS 2008

    Google Scholar 

  23. D. Kim et al., “Asynchronous FIFO Interfaces for GALS On-Chip Switched Networks,” International SoC Design Conference, 2005

    Google Scholar 

  24. G. Liang and A. Jantsch, “Adaptive Power Management for the On-Chip Communication Network,” Digital System Design: Architectures, Methods and Tools, 2006. DSD 2006. 9th EUROMICRO Conference on

    Google Scholar 

  25. S. R. Vangal et al., “An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol.43, no.1, Jan. 2008

    Google Scholar 

  26. T. Sakurai and A. R. Newton, “Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas,” IEEE J. of solid-state circuits, 1990

    Google Scholar 

  27. A. Chakraborty and M. R. Greenstreet, “Efficient self-timed interfaces for crossing clock domains,” International Symposium on Asynchronous Systems and Circuits, 2003

    Google Scholar 

  28. J. Mekie et al., “Interface Design for Rationally Clocked GALS Systems,” International Symposium on Asynchronous Systems and Circuits, 2006

    Google Scholar 

  29. L. F. G. Sarmenta, “Synchronous Communication Techniques for Rationally Clocked Systems,” Master’s thesis, MIT, 1995

    Google Scholar 

  30. J. Carlsson et al., “A Clock Gating Circuit for Globally Asynchronous Locally Synchronous Systems,” Norchip Conference, 2006

    Google Scholar 

  31. E. Amini et al., “Globally asynchronous locally synchronous wrapper circuit based on clock gating,” Symposium on Emerging VLSI Technologies and Architectures, 2006

    Google Scholar 

  32. M. R. Greenstreet, “Implementing a STARI chip,” International Conference on Computer Design, 1995

    Google Scholar 

  33. F. Mu and C. Svensson, “Self-tested self-synchronization circuit for mesochronous clocking,” IEEE Transactions on Analog and Digital Signal Processing, vol.48, no.2, pp.129-140, Feb. 2001

    Article  Google Scholar 

  34. D. Mangano et al., “Skew Insensitive Physical Links for Network on Chip,” 1st International Conference on Nano-Networks and Workshops, Sep. 2006

    Google Scholar 

  35. I. Loi et al., “Developing Mesochronous Synchronizers to Enable 3D NoCs,” DATE, 2008

    Google Scholar 

  36. C. E. Cummings and P. Alfke, “Simulation and Synthesis Techniques for Asynchronous FIFO Design with Asynchronous Pointer Comparisons,” Synopsys Users Group Conference, 2002

    Google Scholar 

  37. N. Wingen, “What If You Could Design Tomorrow’s System Today?,” Design, Automation & Test in Europe Conference & Exhibition, 2007

    Google Scholar 

  38. R. Ginosar, “Fourteen ways to fool your synchronizer,” International Symposium on Asynchronous Systems and Circuits, 2003

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ahmed Hemani .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Chabloz, JM., Hemani, A. (2012). Power Management Architecture in McNoC. In: Soudris, D., Jantsch, A. (eds) Scalable Multi-core Architectures. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-6778-7_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6778-7_3

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-6777-0

  • Online ISBN: 978-1-4419-6778-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics