This special issue of MRS Advances focuses on discussion of major challenges in current and emerging technologies related to implant/doping and annealing processes, device applications, equipment, metrology, and modeling. Contributions from a wide range of topics, from fundamental research to industrial applications and equipment, were requested.

Contributed papers were solicited in the following areas:

  • Advanced Implant/Doping and Annealing Equipment

  • Annealing Technologies and Processes

  • Device Applications for Implant/Doping and Annealing Processes

  • Implant/Doping Technologies and Processes

  • Metrologies for Implant/Doping and Annealing Processes

  • Modeling and Simulation of Implant/Doping and Annealing Processes

Implant/Doping Technologies covering both Equipment and Process advances were the majority of the papers submitted. A good Snapshot Review on basic advances in Ion Implant Equipment is the paper “35 Years of Challenge and Innovation in Ion Implant” by Renau [1]. In this paper, the author reviews significant advances in equipment technology. Of many advances, the author highlights (1) Plasma Flood Gun, (2) Indirectly Heated Cathode Source, (3) Single Wafer Implantation, (4) Plasma Doping, (5) Deceleration with Low Energy Contamination and (6) Hot and Cold Implants.

Plasma Flood Guns were made necessary by the trends towards increasing beam currents combined with device scaling. Higher density beams carry embedded positive charge in the beam towards the wafer, while device scaling made the dielectric layers sensitive to this charge. Plasma Flood Guns were developed to engineer the charge delivered to the wafer, but because negative charging can be as harmful as positive, many proposed solutions were tested and evaluated before successful solutions were accepted.

Indirectly Heated Cathode Sources made the difference in and enabled the much longer lifetime of today’s ion sources. The key concept was the removal of the filament from the plasma environment of the arc chamber, which was the primary cause of failure. It was replaced with a much bulkier cathode that was heated indirectly by bombarding electrons from a filament outside the arc chamber and its dense plasma.

Single Wafer Implantation was a major change in the direction of the industry, where the early spot beam tools were superseded by ribbon beam tools. Issues with the early tools included the need to distribute the power impact to the wafer and a change in angular incidence of the beam due to the wafer motion. The ribbon beam approach distributed the power naturally and was capable of angular control. These benefits of the beamline enable single wafer tools which now dominate the market.

Plasma Doping the implant community has been slow to adopt plasma doping. It has advantages over traditional implant because of its low energy performance and its conformality. Plasma doping had great success with the DRAM market, where DRAM manufacturers wanted to improve performance at minimal cost using counter-doped polysilicon. Only n-type was deposited, and then it was implanted p-type where needed. This meant that the p-type implants (boron) had to be very high dose, because they were counter-doping an n-type films substrate. These implants were also very low energy and could tolerate no high-end energy contamination which, at the time, was a major problem for beam line tools. New applications are emerging with the arrival of 3D structures and the opportunity they give for Plasma Doping to show its other strength, which is conformal doping.

Deceleration with Low Energy Contamination in the 1990s scaling had reduced junction depths to the point when implants needed to be less than 10 keV. Currents fell much faster, and productivity started to become a major issue. Manufacturers started to maximize beam currents by keeping the beam at a higher energy and then decelerating just before implantation. Neutralization became a serious issue with this approach. Ions that are neutralized before deceleration maintain their energy. Deflection deceleration concepts being used today address this issue and make it to this list of innovations to solve major challenges.

Hot and Cold Implants these are innovations that enable the management of implant damage. Implanting at cryogenic temperatures reduces junction leakage and contact resistance. Implanting at very high temperatures enables implants to be used for doping fin structures.

Filling out the Implant/Doping Technologies area were a number of contributed papers. Notable trends within the submitted papers include Temperature Control [MO2.03, Tu2.04], Enhanced Source Options [2, 3], Unique Process Applications [4, 5], and Sub-atmospheric Gas Delivery Systems [6, 7].

Annealing Technologies is a broad categorization to encompass equipment and process advances in the activation of doped layers. A notable review paper of this area is “Where is the annealing technology going for better device performance?” by Suguro [8] The author notes that for the formation of shallower and lower resistance diffused layers, rapid thermal annealing is indispensable. In this paper the wide variety of annealing methods in semiconductor manufacturing and research and development are discussed on the viewpoint of total process integration for achieving better device performance.

So far annealing technology has been mainly discussed on the following two items: Electrical Activation and Diffusion of Implanted Impurity atoms in Si—and—Recovery of Ion Implanted Damage.

In electrical activation, higher temperature is generally considered to be better. Because the solid solubility of impurity atoms is higher at higher temperature as well known. If both electrical activation and diffusion of impurity atoms are considered, higher temperature and shorter time length is better for the formation of shallow and lower resistivity diffusion layer. In this respect milli-second anneal can be applied. For this paper millisecond annealing was carried out by using flash lamp and two kinds of lasers.

These techniques were evaluated not only for diffusion and damage reduction, but also for stress generation from temperature difference within the wafer, pattern dependance, and deactivation of impurity atoms. The author concludes—better annealing needs to be chosen depending on the purpose of the processing and process integration. Not only electrical activation, impurity diffusion, damage recovery, but deactivation, hydrogen passivation and re-activation are important. Especially the sequence and combination of annealing processes are important.

A broad set of topics were submitted in the Annealing Technologies area in the contributed papers. Notable trends within the submitted papers include comparisons between techniques [9, 10], and application to advanced materials (SiC, III/V) processing [11, 12].

In addition to fully contributed papers, this issue serves as the publication of record for the International Conference on Ion Implantation Technology 2022 (IIT 2022), which is the 23rd Conference in the biannual series focused on the same technologies.

Guest Editor

Larry Larson, Texas State University

Conference Co-chair

Susan Felch, Susan Felch Consulting.