Skip to main content
Log in

State and Fault Information for Compaction-Based Test Generation

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

We present a new test generation procedure for sequential circuits using newly traversed state and newly detected fault information obtained between successive iterations of vector compaction. Two types of techniques are considered. One is based on the new states a sequential circuit is driven into, and the other is based on the new faults that are detected between consecutive iterations of vector compaction. These data modify an otherwise random selection of vectors, to bias vector sequences that cause the circuit to reach new states, and cause previously undetected faults to be detected. The biased vectors, when used to extend the compacted test set, provide a more intelligent selection of vectors. The extended test set is then compacted. Repeated applications of state and fault analysis, vector generation and compaction produce significantly high fault coverage using relatively small computing resources. We obtained improvements in terms of higher fault coverage, fewer vectors for the same coverage, or smaller number of iterations and time required, consistently for several benchmark circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. V.D. Agrawal, “When to Use Random Testing,” IEEE Trans. Computers, vol. C-27, no. 11, pp. 1054–1055, Nov. 1978.

    Google Scholar 

  2. M.F. Alshaibi and C.R. Kime, “Fixed-Biased Pseudo-Random Built In Self Test for Random Pattern Resistant Circuits,” in Proc. International Test Conf., 1994, pp. 929–938.

  3. F. Brglez, D. Bryan, and K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits,” in Proc. Int. Symposium on Circuits and Systems (ISCAS), May 1989, pp. 1929–1934.

  4. M.L. Bushnell and V.D. Agrawal, Essentials of Electronic Testing for Digital, Memory &; Mixed-Signal VLSI Circuits. Boston: Kluwer Academic Publishers, 2000.

    Google Scholar 

  5. S. Davidson et al., “ITC'99 Benchmark Circuits—Preliminary Results,” in Proc. Int. Test Conf., 1999, pp. 1125. Also see website www.cerc.utexas.edu/itc99-benchmarks/bench.html.

  6. A. Giani, S. Sheng, M.S. Hsiao, and V.D. Agrawal, “Compaction-Based Test Generation Using State and Fault Information,” in Proc. 9th Asian Test Symp., 2000, pp. 159–164.

  7. A. Giani, S. Sheng, M.S. Hsiao, and V.D. Agrawal, “Correlation-Based Test Generation for Sequential Circuits,” in Proc. 9th IEEE North Atlantic Test Workshop, 2000, pp. 76–83.

  8. A. Giani, S. Sheng, M.S. Hsiao, and V.D. Agrawal, “Effi-cient Spectral Techniques for Sequential ATPG,” in Proc. Design, Automation, and Test in Europe (DATE), 2001, pp. 204–208.

  9. A. Giani, S. Sheng, M.S. Hsiao, and V.D. Agrawal, “Novel Spectral Methods for Built-In Self-Test in a System-on-a-Chip Environment,” in Proc. 19th IEEE VLSI Test Symp., 2001, pp. 163–168.

  10. R. Guo, I. Pomeranz, and S.M. Reddy, “Procedures for Static Compaction of Test Sequences for Synchronous Sequential Circuits Based onVector Restoration,” in Proc. Design,Automation, and Test in Europe (DATE), 1998, pp.583–587.

  11. R. Guo, I. Pomeranz, and S.M. Reddy, “A Fault Simulation Based Test Pattern Generator for Synchronous Sequential Circuits,” in Proc. 17th IEEE VLSI Test Symp., 1999, pp. 260–267.

  12. R. Guo, I. Pomeranz, and S.M. Reddy, “PROPTEST: A Property Based Test Pattern Generator for Sequential Circuits Using Test 72 Giani et al. Compaction,” in Proc. 36th Design Automation Conf., 1999, pp. 653–659.

  13. A. Jain, V.D. Agrawal, and M.S. Hsiao, “On Generating Tests for Sequential Circuits Using Static Compaction,” in 6th IEEE Int. Test Synthesis Workshop, March 1999.

  14. P. Mazumder and E.M. Rudnick, Genetic Algorithms for VLSI Design, Layout, and Test Automation. Upper Saddle River, New Jersey: Prentice-Hall, 1999.

    Google Scholar 

  15. F. Muradali, T. Nishida, and T. Shimizu, “Structure and Technique for Pseudo Random-BasedTesting of Sequential Circuits,” J. Electronic Testing: Theory and Applications, vol. 6, no. 1, pp. 107–115, Feb. 1995.

    Google Scholar 

  16. I. Pomeranz and S.M. Reddy, “Vector Restoration Based Static Compaction of Test Sequences for Synchronous Sequential Circuits,” in Proc. Int. Conf. Computer Design, 1997, pp. 360–365.

  17. S. Sheng, A. Jain, M.S. Hsiao, and V.D. Agrawal, “Correlation Analysis of Compacted Test Vectors and the Use of Correlated Vectors for Test Generation,” in 7th IEEE Int. Test Synthesis Workshop, March 2000.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Giani, A., Sheng, S., Hsiao, M.S. et al. State and Fault Information for Compaction-Based Test Generation. Journal of Electronic Testing 18, 63–72 (2002). https://doi.org/10.1023/A:1013780023643

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1013780023643

Navigation