Skip to main content
Log in

Growth of the orthorhombic phase and inhibition of charge injection in ferroelectric HfO2-based MFIS memory devices with a high-permittivity dielectric seed layer

HfO2铁电薄膜基MFIS存储结构中的高κ介电晶籽层 效应研究: 铁电正交相生长和界面电荷注入抑制

  • Articles
  • Published:
Science China Materials Aims and scope Submit manuscript

Abstract

The poor endurance of the ferroelectric (FE) HfO2 (Fe-HfO2) material-based FE field-effect transistor (Fe-FET) remains a major challenge for its future commercial production. Here we propose a high-κ interface seed layer (SL) in the metal-FE-insulator-semiconductor (MFIS) gate stack to address this issue. We fabricated Hf0.5Zr0.5O2 (HZO) FE thin films on various high-κ dielectric SLs, including ZrO2, HfO2, (HfO2)0.75(Al2O3)0.25 (HAO), and Al2O3, and investigated their microstructures, ferroelectricity, and memory characteristics in the MFIS devices. The results show that the nucleation and growth of the FE orthorhombic phase in HZO films are affected not only by the surface energy but also by the microstructure of the high-κ SL. Additionally, we clarified the role of the high-κ SL on the memory characteristics of the MFIS devices, which were reasonably explained by the accurately calculated interface electric field. Finally, we realized a good tradeoff between the FE polarization and interface charge injection in the MFIS device with HAO high-κ SL, demonstrating a large FE window (> 1.0 V), excellent retention (> 1.6×104 s), and endurance cycles (> 105). The results will provide valuable ideas to overcome the challenge of endurance in the Fe-HfO2-based FeFET and contribute to developing other high-performance electron devices with Fe-HfO2 films grown on a dielectric SL.

摘要

HfO2基材料铁电场效应晶体管(FeFET)商业化应用面临的一个 重要挑战是其疲劳特性差. 本文提出, 在基于金属-铁电-绝缘层-半导体 (MFIS)栅叠层结构的FeFET中研发合适的高κ界面晶籽层(SL)以大幅度 提升其疲劳性能. 我们在ZrO2, HfO2, (HfO2)0.75(Al2O3)0.25 (HAO)和Al2 O3等典型的高κ介电SL上制备了Hf0.5Zr0.5O2 (HZO)铁电薄膜, 系统研究 了HZO薄膜的微观结构、铁电性及其MFIS器件的存储特性. 首先, 揭 示了HZO薄膜中铁电正交相的形核和生长不仅受高κ介电SL表面能的 影响, 而且其微观结构对HZO中正交相的形成也起到重要作用. 其次, 澄清了高κ介电晶籽层对MFIS结构存储特性的影响, 通过精确计算的 MFIS结构的界面层电场, 对MFIS结构的存储特性做出了合理解释. 最 后, 基于HAO的高κ SL的MFIS器件实现了铁电极化和界面电荷注入之 间的合理优化, 并且获得了较大铁电存储窗口(>1.0 V), 出色的保持特 性(>1.6 × 104 s)和疲劳特性(>105). 本文为未来解决HfO2基FeFET的疲 劳问题提供了有价值的思路, 为在介电SL上生长HfO2铁电薄膜的其他 高性能电子器件的开发提供了参考.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Böscke TS, Müller J, Bräuhaus D, et al. Ferroelectricity in hafnium oxide thin films. Appl Phys Lett, 2011, 99: 102903

    Article  Google Scholar 

  2. Müller J, Yurchuk E, Schlösser T, et al. Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG. In: 2012 Symposium on VLSI Technology (VLSIT). Honolulu: IEEE, 2012. 25–26

    Google Scholar 

  3. McGuire FA, Lin YC, Price K, et al. Sustained sub-60 mV/decade switching via the negative capacitance effect in MoS2 transistors. Nano Lett, 2017, 17: 4801–4806

    Article  CAS  Google Scholar 

  4. Liu H, Lu T, Li Y, et al. Flexible quasi-van der Waals ferroelectric hafnium-based oxide for integrated high-performance nonvolatile memory. Adv Sci, 2020, 7: 2001266

    Article  CAS  Google Scholar 

  5. Kim MK, Lee JS. Ferroelectric analog synaptic transistors. Nano Lett, 2019, 19: 2044–2050

    Article  CAS  Google Scholar 

  6. Müller J, Bösckee T S, Müllera S, et al. Ferroelectric hafnium oxide: A CMOS-compatible and highly scalable approach to future ferroelectric memories. In: 2013 IEEE International Electron Devices Meeting. Washington: IEEE, 2013

    Google Scholar 

  7. Cheema SS, Kwon D, Shanker N, et al. Enhanced ferroelectricity in ultrathin films grown directly on silicon. Nature, 2020, 580: 478–482

    Article  CAS  Google Scholar 

  8. Sang X, Grimley ED, Schenk T, et al. On the structural origins of ferroelectricity in HfO2 thin films. Appl Phys Lett, 2015, 106: 162905

    Article  Google Scholar 

  9. Müller J, Böscke TS, Schröder U, et al. Ferroelectricity in simple binary ZrO2 and HfO2. Nano Lett, 2012, 12: 4318–4323

    Article  Google Scholar 

  10. Park MH, Lee YH, Kim HJ, et al. Ferroelectricity and antiferroelectricity of doped thin HfO2-based films. Adv Mater, 2015, 27: 1811–1831

    Article  CAS  Google Scholar 

  11. Joh H, Anoop G, Lee WJ, et al. Low-temperature growth of ferroelectric Hf0.5Zr0.5O2 thin films assisted by deep ultraviolet light irradiation. ACS Appl Electron Mater, 2021, 3: 1244–1251

    Article  CAS  Google Scholar 

  12. Wang D, Zhang Y, Wang J, et al. Enhanced ferroelectric polarization with less wake-up effect and improved endurance of Hf0.5Zr0.5O2 thin films by implementing W electrode. J Mater Sci Tech, 2022, 104: 1–7

    Article  CAS  Google Scholar 

  13. Kim HJ, Park MH, Kim YJ, et al. Grain size engineering for ferroelectric Hf0.5Zr0.5O2 films by an insertion of Al2O3 interlayer. Appl Phys Lett, 2014, 105: 192903

    Article  Google Scholar 

  14. Lin YC, McGuire F, Franklin AD. Realizing ferroelectric Hf0.5Zr0.5O2 with elemental capping layers. J Vac Sci Technol B, 2018, 36: 011204

    Article  Google Scholar 

  15. Park MH, Lee DH, Yang K, et al. Review of defect chemistry in fluorite-structure ferroelectrics for future electronic devices. J Mater Chem C, 2020, 8: 10526–10550

    Article  CAS  Google Scholar 

  16. Zhang Y, Fan Z, Wang D, et al. Enhanced ferroelectric properties and insulator-metal transition-induced shift of polarization-voltage hysteresis loop in VOx-capped Hf0.5Zr0.5O2 thin films. ACS Appl Mater Interfaces, 2020, 12: 40510–40517

    Article  CAS  Google Scholar 

  17. Kim SJ, Mohan J, Summerfelt SR, et al. Ferroelectric Hf0.5Zr0.5O2 thin films: A review of recent advances. JOM, 2018, 71: 246–255

    Article  Google Scholar 

  18. Lehninger D, Ellinger M, Ali T, et al. A fully integrated ferroelectric thin-film-transistor-influence of device scaling on threshold voltage compensation in displays. Adv Electron Mater, 2021, 7: 2100082

    Article  CAS  Google Scholar 

  19. Chernikova AG, Kozodaev MG, Negrov DV, et al. Improved ferroelectric switching endurance of La-doped Hf0.5Zr0.5O2 thin films. ACS Appl Mater Interfaces, 2018, 10: 2701–2708

    Article  CAS  Google Scholar 

  20. Park MH, Lee YH, Kim HJ, et al. Surface and grain boundary energy as the key enabler of ferroelectricity in nanoscale hafnia-zirconia: A comparison of model and experiment. Nanoscale, 2017, 9: 9973–9986

    Article  CAS  Google Scholar 

  21. Materlik R, Künneth C, Kersch A. The origin of ferroelectricity in Hf1−xZrxO2: A computational investigation and a surface energy model. J Appl Phys, 2015, 117: 134109

    Article  Google Scholar 

  22. Zhang Y, Wang D, Luo C, et al. Controllable coercive field of ferroelectric HfO2 films via UV-ozone surface modification. IEEE Trans Electron Devices, 2022, 69: 3094–3099

    Article  CAS  Google Scholar 

  23. Tang YT, Fan CL, Kao YC. A comprehensive kinetical modeling of polymorphic phase distribution of ferroelectric-dielectrics and interfacial energy effects on negative capacitance FETs. In: 2019 Symposium on VLSI Technology. Kyoto: IEEE, 2019. T222–T223

    Google Scholar 

  24. Kim H, Yun S, Kim TH, et al. Effect of hydrogen on hafnium zirconium oxide fabricated by atomic layer deposition using H2O2 oxidant. Phys Rapid Res Lett, 2021, 15: 2100020

    CAS  Google Scholar 

  25. Tian F, Zhao S, Xu H, et al. Impact of interlayer and ferroelectric materials on charge trapping during endurance fatigue of FeFET with TiN/HfxZr1−xO2/interlayer/Si (MFIS) gate structure. IEEE Trans Electron Devices, 2021, 68: 5872–5878

    Article  CAS  Google Scholar 

  26. Xiao W, Liu C, Peng Y, et al. Memory window and endurance improvement of Hf0.5Zr0.5O2-based FeFETs with ZrO2 seed layers characterized by fast voltage pulse measurements. Nanoscale Res Lett, 2019, 14: 254

    Article  Google Scholar 

  27. Si M, Su CJ, Jiang C, et al. Steep-slope hysteresis-free negative capacitance MoS2 transistors. Nat Nanotech, 2018, 13: 24–28

    Article  CAS  Google Scholar 

  28. Wang JL, Guo XY, Yu ZH, et al. Steep slope p-type 2D WSe2 field-effect transistors with van der Waals contact and negative capacitance. In: 2018 IEEE International Electron Devices Meeting (IEDM). San Francisco: IEEE, 2018

    Google Scholar 

  29. Ali T, Sünbül A, Mertens K, et al. Impact of the ferroelectric and interface layer optimization in an MFIS HZO based ferroelectric tunnel junction for neuromorphic based synaptic storage. In: 2021 Silicon Nanoelectronics Workshop (SNW). Kyoto: IEEE, 2022. 1–2

    Google Scholar 

  30. Xiao W, Liu C, Peng Y, et al. Performance improvement of Hf0.5Zr0.5O2-based ferroelectric-field-effect transistors with ZrO2 seed layers. IEEE Electron Device Lett, 2019, 40: 714–717

    Article  CAS  Google Scholar 

  31. Onaya T, Nabatame T, Sawamoto N, et al. Improvement in ferroelectricity of HfxZr1−xO2 thin films using ZrO2 seed layer. Appl Phys Express, 2017, 10: 081501

    Article  Google Scholar 

  32. Onaya T, Nabatame T, Sawamoto N, et al. Improvement in ferroelectricity of HfxZr1−xO2 thin films using top- and bottom-ZrO2 nucleation layers. APL Mater, 2019, 7: 061107

    Article  Google Scholar 

  33. Mueller S, Slesazeck S, Mikolajick T, et al. Next-generation ferroelectric memories based on FE-HfO2. In: 2015 Joint IEEE International Symposium on the Applications of Ferroelectric (ISAF), International Symposium on Integrated Functionalities (ISIF), and Piezoelectric Force Microscopy Workshop (PFM). Singapore: IEEE, 2015. 233–236

    Google Scholar 

  34. Meena JS, Sze SM, Chand U, et al. Overview of emerging nonvolatile memory technologies. Nanoscale Res Lett, 2014, 9: 526

    Article  Google Scholar 

  35. Khan AI, Keshavarzi A, Datta S. The future of ferroelectric field-effect transistor technology. Nat Electron, 2020, 3: 588–597

    Article  Google Scholar 

  36. Lu X, Maruyama K, Ishiwara H. Metal-ferroelectric-insulator-Si devices using HfTaO buffer layers. Semicond Sci Technol, 2008, 23: 045002

    Article  Google Scholar 

  37. Luo Q, Gong T, Cheng Y, et al. Hybrid 1T e-DRAM and e-NVM realized in one 10 nm node ferro FinFET device with charge trapping and domain switching effects. In: 2018 IEEE International Electron Devices Meeting (IEDM). San Francisco: IEEE, 2018

    Book  Google Scholar 

  38. Ali T, Kühnel K, Mertens K, et al. Effect of substrate implant tuning on the performance of MFIS silicon doped hafnium oxide (HSO) FeFET memory. In: 2020 IEEE International Memory Workshop (IMW). Dresden: IEEE, 2020. 1–4

    Google Scholar 

  39. Chan CY, Chen KY, Peng HK, et al. FeFET memory featuring large memory window and robust endurance of long-pulse cycling by interface engineering using high-κ AlON. In: 2020 IEEE Symposium on VLSI Technology. Honolulu: IEEE, 2020. 1–2

    Google Scholar 

  40. Ni K, Sharma P, Zhang J, et al. Critical role of interlayer in Hf0.5Zr0.5O2 ferroelectric FET nonvolatile memory performance. IEEE Trans Electron Devices, 2018, 65: 2461–2469

    Article  CAS  Google Scholar 

  41. Tang MH, Sun ZH, Zhou YC, et al. Capacitance-voltage and retention characteristics of Pt/SrBi2Ta2O9/HfO2/Si structures with various buffer layer thickness. Appl Phys Lett, 2009, 94: 212907

    Article  Google Scholar 

  42. Sakai S, Takahashi M. Recent progress of ferroelectric-gate field-effect transistors and applications to nonvolatile logic and FeNAND flash memory. Materials, 2010, 3: 4950–4964

    Article  CAS  Google Scholar 

  43. Hsiang KY, Liao CY, Chen KT, et al. Ferroelectric HfZrO2 with electrode engineering and stimulation schemes as symmetric analog synaptic weight element for deep neural network training. IEEE Trans Electron Devices, 2020, 67: 4201–4207

    Article  CAS  Google Scholar 

  44. Lee PF, Dai JY, Wong KH, et al. Study of interfacial reaction and its impact on electric properties of Hf-Al-O high-κ gate dielectric thin films grown on Si. Appl Phys Lett, 2003, 82: 2419–2421

    Article  CAS  Google Scholar 

  45. Curreem KKS, Lee PF, Wong KS, et al. Comparison of interfacial and electrical characteristics of HfO2 and HfAlO high-κ dielectrics on compressively strained Si1−xGex. Appl Phys Lett, 2006, 88: 182905

    Article  Google Scholar 

  46. George SM. Atomic layer deposition: An overview. Chem Rev, 2010, 110: 111–131

    Article  CAS  Google Scholar 

  47. Sharma P, Tapily K, Saha A. K, et al. Impact of total and partial dipole switching on the switching slope of gate-last negative capacitance FETs with ferroelectric hafnium zirconium oxide gate stack. In: 2017 Symposium on VLSI Technology. Kyoto: IEEE, 2017. T154–T155

    Google Scholar 

  48. Schenk T, Fancher CM, Park MH, et al. On the origin of the large remanent polarization in La:HfO2. Adv Electron Mater, 2019, 5: 1900303

    Article  CAS  Google Scholar 

  49. Huang C, Zhang Y, Zheng S, et al. Interface effects induced by a ZrO2 seed layer on the phase stability and orientation of HfO2 ferroelectric thin films: A first-principles study. Phys Rev Appl, 2021, 16: 044048

    Article  CAS  Google Scholar 

  50. Janssen D, De Palma R, Verlaak S, et al. Static solvent contact angle measurements, surface free energy and wettability determination of various self-assembled monolayers on silicon dioxide. Thin Solid Films, 2006, 515: 1433–1438

    Article  CAS  Google Scholar 

  51. Andrade JD, Smith LM, Gregonis DE. The contact angle and interface energetics, In: Andrade JD (ed). Surface and Interfacial Aspects of Biomedical Polymers. Boston: Springer, 1995. 249–292

    Google Scholar 

  52. Pešić M, Fengler FPG, Larcher L, et al. Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors. Adv Funct Mater, 2016, 26: 4601–4612

    Article  Google Scholar 

  53. Kashir A, Oh S, Hwang H. Defect engineering to achieve wake-up free HfO2-based ferroelectrics. Adv Eng Mater, 2021, 23: 2000791

    Article  CAS  Google Scholar 

  54. Samanta S, Anoop G, Joh HJ, et al. Multi-step chemical solution deposition-annealing process toward wake-up free ferroelectricity in Y: HfO2 films. Adv Mater Interfaces, 2021, 8: 2100907

    Article  CAS  Google Scholar 

  55. Srolovitz DJ. Grain growth phenomena in films: A Monte Carlo approach. J Vacuum Sci Tech A-Vacuum Surfs Films, 1986, 4: 2925–2931

    Article  CAS  Google Scholar 

  56. Navrotsky A. Energetic clues to pathways to biomineralization: Precursors, clusters, and nanoparticles. Proc Natl Acad Sci USA, 2004, 101: 12096–12101

    Article  CAS  Google Scholar 

  57. Bharti B, Kumar S, Kumar R. Superhydrophilic TiO2 thin film by nanometer scale surface roughness and dangling bonds. Appl Surf Sci, 2016, 364: 51–60

    Article  CAS  Google Scholar 

  58. Zhang SB, Wei SH. Surface energy and the common dangling bond rule for semiconductors. Phys Rev Lett, 2004, 92: 086102

    Article  CAS  Google Scholar 

  59. Luo YR. Comprehensive Handbook of Chemical Bond Energies. Boca Raton: CRC Press, 2007

    Book  Google Scholar 

  60. Chen H, Tang L, Liu L, et al. Significant improvement of ferroelectricity and reliability in Hf0.5Zr0.5O2 films by inserting an ultrathin Al2O3 buffer layer. Appl Surf Sci, 2021, 542: 148737

    Article  CAS  Google Scholar 

  61. Grimley ED, Schenk T, Sang X, et al. Structural changes underlying field-cycling phenomena in ferroelectric HfO2 thin films Adv Electron Mater, 2016, 2: 1600173

    Article  Google Scholar 

  62. Park MH, Lee YH, Kim HJ, et al. Morphotropic phase boundary of Hf1−xZrxO2 thin films for dynamic random access memories. ACS Appl Mater Interfaces, 2018, 10: 42666–42673

    Article  CAS  Google Scholar 

  63. Toprasertpong K, Lin ZY, Lee TE, et al. Asymmetric polarization response of electrons and holes in Si FeFETs: Demonstration of absolute polarization hysteresis loop and inversion hole density over 2×1013 cm−2. In: 2020 IEEE Symposium on VLSI Technolgy. Honolulu: IEEE, 2020. 1–2

    Google Scholar 

  64. Toprasertpong K, Tahara K, Takenaka M, et al. Evaluation of polarization characteristics in metal/ferroelectric/semiconductor capacitors and ferroelectric field-effect transistors. Appl Phys Lett, 2020, 116: 242903

    Article  CAS  Google Scholar 

  65. Toprasertpong K, Tahara K, Fukui T, et al. Improved ferroelectric/semiconductor interface properties in Hf0.5Zr0.5O2 ferroelectric FETs by low-temperature annealing. IEEE Electron Device Lett, 2020, 41: 1588–1591

    Article  CAS  Google Scholar 

  66. Mahata C, Byun YC, An CH, et al. Comparative study of atomic-layer-deposited stacked (HfO2/Al2O3) and nanolaminated (HfAlOx) dielectrics on In0.53Ga0.47As. ACS Appl Mater Interfaces, 2013, 5: 4195–4201

    Article  CAS  Google Scholar 

  67. Gao J, He G, Sun Z, et al. Modification of electrical properties and carrier transportation mechanism of ALD-derived HfO2/Si gate stacks by Al2O3 incorporation. J Alloys Compd, 2016, 667: 352–358

    Article  CAS  Google Scholar 

  68. Tasneem N, Islam MM, Wang Z, et al. The impacts of ferroelectric and interfacial layer thicknesses on ferroelectric FET design. IEEE Electron Device Lett, 2021, 42: 1156–1159

    Article  CAS  Google Scholar 

  69. Li P, Huang Z, Fan Z, et al. An unusual mechanism for negative differential resistance in ferroelectric nanocapacitors: Polarization switching-induced charge injection followed by charge trapping. ACS Appl Mater Interfaces, 2017, 9: 27120–27126

    Article  CAS  Google Scholar 

  70. Jin C, Saraya T, Hiramoto T, et al. Transient negative capacitance as cause of reverse drain-induced barrier lowering and negative differential resistance in ferroelectric FETs In: Symposium on VLSI Technolgy. Kyoto: IEEE, 2019. T220–T221

    Google Scholar 

  71. Cao R, Liu Q, Liu M, et al. Improvement of endurance in HZO-based ferroelectric capacitor using Ru electrode. IEEE Electron Device Lett, 2019, 40: 1744–1747

    Article  CAS  Google Scholar 

  72. Wang J, Qin M, Zeng M, et al. Excellent ferroelectric properties of Hf0.5Zr0.5O2 thin films induced by Al2O3 dielectric layer. IEEE Electron Device Lett, 2019, 40: 1937–1940

    Article  CAS  Google Scholar 

  73. Zhang Y, Shao YY, Lu XB, et al. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications. Appl Phys Lett, 2014, 105: 172902

    Article  Google Scholar 

  74. Yurchuk E, Muller J, Muller S, et al. Charge-trapping phenomena in HfO2-based FeFET-type nonvolatile memories IEEE Trans Electron Devices, 2016, 63: 3501–3507

    Article  CAS  Google Scholar 

  75. Lu X, Maruyama K, Ishiwara H. Characterization of HfTaO films for gate oxide and metal-ferroelectric-insulator-silicon device applications. J Appl Phys, 2008, 103: 044105

    Article  Google Scholar 

  76. Min DH, Kang SY, Moon SE, et al. Impact of thickness control of Hf0.5Zr0.5O2 films for the metal-ferroelectric-insulator-semiconductor capacitors IEEE Electron Device Lett, 2019, 40: 1032–1035

    Article  CAS  Google Scholar 

Download references

Acknowledgements

This work was supported by the National Natural Science Foundation of China (62174059, 51872099, and 91963102), Hong Kong Research Grant Council (15300619), Science and Technology Program of Guangzhou (201905-0001), Guangdong Science and Technology Project-International Cooperation (2021A0505030064), the Program for Chang Jiang Scholars and Innovative Research Teams in Universities (IRT_17R00), and the 111 Project

Author information

Authors and Affiliations

Authors

Contributions

Lu X designed and supervised this work. Zhang Y carried out the thin film preparation, sample fabrication, and electrical measurements. Dai JY helped with the microstructure characterizations. Zhang Y, Wang D, Wang J, Luo C, Li M, and Li Y conducted the XRD and XRR. Zhang Y and Tao R performed the measurement of AFM and contact angles. Zhang Y and Lu X wrote the manuscript. All authors read and commented on the manuscript.

Corresponding author

Correspondence to Xubing Lu  (陆旭兵).

Additional information

Conflict of interest

The authors declare that they have no conflict of interest.

Supplementary information

Supporting data are available in the online version of thepaper.

Yan Zhang is currently working as a PhD student at South China Academy of Advanced Optoelectronics, South China Normal University. His research interests focus on the ferroelectric materials and their applications in memory devices.

Xubing Lu received his PhD degree from Nanjing University in 2002. From 2002 to 2010, he worked as postdoctoral researcher, JSPS research fellow and Humbolt researcher in several universities and research institutions in China and abroad. He has been working at South China Normal University as a full professor since 2010. His research interests include high-κ dielectric materials, ferroelectric materials, and their applications in nonvolatile memory devices and artificial synaptic devices.

Supporting Information

40843_2022_2124_MOESM1_ESM.pdf

Growth of the orthorhombic phase and inhibition of charge injection in ferroelectric HfO2-based MFIS memory devices with a high-permittivity dielectric seed layer

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, Y., Wang, D., Wang, J. et al. Growth of the orthorhombic phase and inhibition of charge injection in ferroelectric HfO2-based MFIS memory devices with a high-permittivity dielectric seed layer. Sci. China Mater. 66, 219–232 (2023). https://doi.org/10.1007/s40843-022-2124-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s40843-022-2124-7

Keywords

Navigation