Skip to main content
Log in

Silicon-processes-compatible contact engineering for two-dimensional materials integrated circuits

  • Review Article
  • Published:
Nano Research Aims and scope Submit manuscript

Abstract

Two-dimensional (2D) semiconductors, especially transition metal dichalcogenides (TMDCs), have been proven to be excellent channel materials for the next-generation integrated circuit (IC). However, the contact problem between 2D TMDCs and metal electrodes has always been one of the main factors restricting their development. In this review, we summarized recent work on 2D TMDCs contact from the perspective of compatible integration with silicon processes and practical application requirements, including the contact performance evaluation indicators, special challenges encountered in 2D TMDCs, and recent optimization methods. Specifically, we sorted out and highlighted the performance indicators of 2D TMDCs contacts, including contact resistance (RC), contact scaling, contact stability, and contact electrical/thermal conductivity. Special challenges of 2D TMDCs and metal contact, such as severe Fermi level pinning, large RC and difficult doping, are systematically discussed. Furthermore, typical methods for optimizing 2D TMDCs RC, edge contact strategies for scaling contact lengths, and solutions for improving contact stability are reviewed. Based on the current research and problems, the development direction of 2D TMDCs contacts that meet the silicon-based compatible process and application performance requirements is proposed.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Kelleher, A. B. Celebrating 75 years of the transistor A look at the evolution of Moore’s Law innovation. In 2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022, pp 1.1.1-1.1.5.

  2. Das, S.; Sebastian, A.; Pop, E.; McClellan, C. J.; Franklin, A. D.; Grasser, T.; Knobloch, T.; Illarionov, Y.; Penumatcha A. V.; Appenzeller, J. et al. Transistors based on two-dimensional materials for future integrated circuits. Nat. Electron. 2021, 4, 786–799.

    CAS  Google Scholar 

  3. Wang, S. Y.; Liu, X. X.; Xu, M. S.; Liu, L. W.; Yang, D. R.; Zhou, P. Two-dimensional devices and integration towards the silicon lines. Nat. Mater. 2022, 21, 1225–1239.

    CAS  Google Scholar 

  4. Dorow, C. J.; Penumatcha, A.; Kitamura, A.; Rogan, C.; O’Brien, K. P.; Lee, S.; Ramamurthy, R.; Cheng, C. Y.; Maxey, K.; Zhong, T. et al. Gate length scaling beyond Si: Mono-layer 2D channel FETs robust to short channel effects. In 2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022, pp 7.5.1-7.5.4.

  5. Li, T. T.; Guo, W.; Ma, L.; Li, W. S.; Yu, Z. H.; Han, Z.; Gao, S.; Liu, L.; Fan, D. X.; Wang, Z. X. et al. Epitaxial growth of wafer-scale molybdenum disulfide semiconductor single crystals on sapphire. Nat. Nanotechnol. 2021, 16, 1201–1207.

    CAS  Google Scholar 

  6. Wang, J. H.; Xu, X. Z.; Cheng, T.; Gu, L. H.; Qiao, R. X.; Liang, Z. H.; Ding, D. D.; Hong, H.; Zheng, P. M.; Zhang, Z. B. et al. Dual-coupling-guided epitaxial growth of wafer-scale single-crystal WS2 monolayer on vicinal α-plane sapphire. Nat. Nanotechnol. 2022, 17, 33–38.

    CAS  Google Scholar 

  7. Ning, H. K.; Yu, Z. H.; Zhang, Q. T.; Wen, H. D.; Gao, B.; Mao, Y.; Li, Y. K.; Zhou, Y.; Zhou, Y.; Chen, J. W. et al. An in-memory computing architecture based on a duplex two-dimensional material structure for in situ machine learning. Nat. Nanotechnol. 2023, 18, 493–500.

    CAS  Google Scholar 

  8. Tang, J.; Wang, Q. Q.; Tian, J. P.; Li, X. M.; Li, N.; Peng, Y. L.; Li, X. Z.; Zhao, Y. C.; He, C. L.; Wu, S. Y. et al. Low power flexible monolayer MoS2 integrated circuits. Nat. Commun. 2023, 14, 3633.

    CAS  Google Scholar 

  9. Wu, F.; Tian, H.; Shen, Y.; Hou, Z.; Ren, J.; Gou, G. Y.; Sun, Y. B.; Yang, Y.; Ren, T. L. Vertical MoS2 transistors with sub-1-nm gate lengths. Nature 2022, 603, 259–264.

    CAS  Google Scholar 

  10. Liu, L.; Li, T. T.; Ma, L.; Li, W. S.; Gao, S.; Sun, W. J.; Dong, R. K.; Zou, X. L.; Fan, D. X.; Shao, L. W. et al. Uniform nucleation and epitaxy of bilayer molybdenum disulfide on sapphire. Nature 2022, 605, 69–75.

    CAS  Google Scholar 

  11. Zhang, Y. Inorganic molecular crystal dielectric film enabling high-performance 2D van der Waals devices and scalable integration. Sci. Bull. 2022, 67, 1010–1012.

    CAS  Google Scholar 

  12. Zhang, Z. H.; Wang, Z. W.; Shi, T.; Bi, C.; Rao, F.; Cai, Y. M.; Liu, Q.; Wu, H. Q.; Zhou, P. Memory materials and devices: From concept to application. InfoMat 2020, 2, 261–290.

    CAS  Google Scholar 

  13. Su, S. K.; Chuu, C. P.; Li, M. Y.; Cheng, C. C.; Wong, H. S. P.; Li, L. J. Layered semiconducting 2D materials for future transistor applications. Small Struct. 2021, 2, 2000103.

    CAS  Google Scholar 

  14. Thomas, S. An industry view on two-dimensional materials in electronics. Nat. Electron. 2021, 4, 856–857.

    Google Scholar 

  15. Liu, Y.; Guo, J.; Zhu, E. B.; Liao, L.; Lee, S. J.; Ding, M. N.; Shakir, I.; Gambin, V.; Huang, Y.; Duan, X. F. Approaching the Schottky-Mott limit in van der Waals metal-semiconductor junctions. Nature 2018, 557, 696–700.

    CAS  Google Scholar 

  16. Kong, L. G.; Zhang, X. D.; Tao, Q. Y.; Zhang, M. L.; Dang, W. Q.; Li, Z. W.; Feng, L. P.; Liao, L.; Duan, X. F.; Liu, Y. Doping-free complementary WSe2 circuit via van der Waals metal integration. Nat. Commun. 2020, 11, 1866.

    CAS  Google Scholar 

  17. Wang, Y.; Kim, J. C.; Wu, R. J.; Martinez, J.; Song, X. J.; Yang, J.; Zhao, F.; Mkhoyan, A.; Jeong, H. Y.; Chhowalla, M. van der Waals contacts between three-dimensional metals and two-dimensional semiconductors. Nature 2019, 568, 70–74.

    CAS  Google Scholar 

  18. Xiao, J. K.; Kang, Z.; Liu, B. S.; Zhang, X. K.; Du, J. L.; Chen, K. L.; Yu, H. H.; Liao, Q. L.; Zhang, Z.; Zhang, Y. Record-high saturation current in end-bond contacted monolayer MoS2 transistors. Nano Res. 2022, 15, 475–481.

    CAS  Google Scholar 

  19. Shen, P. C.; Su, C.; Lin, Y. X.; Chou, A. S.; Cheng, C. C.; Park, J. H.; Chiu, M. H.; Lu, A. Y.; Tang, H. L.; Tavakoli, M. M. et al. Ultralow contact resistance between semimetal and monolayer semiconductors. Nature 2021, 593, 211–217.

    CAS  Google Scholar 

  20. Jiang, J. F.; Xu, L.; Qiu, C. G.; Peng, L. M. Ballistic two-dimensional InSe transistors. Nature 2023, 616, 470–475.

    CAS  Google Scholar 

  21. Chou, A. S.; Wu, T.; Cheng, C. C.; Zhan, S. S.; Ni, I. C.; Wang, S. Y.; Chang, Y. C.; Liew, S. L.; Chen, E.; Chang, W. H. et al. Antimony semimetal contact with enhanced thermal stability for high performance 2D electronics. In 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp 7.2.1-7.2.4.

  22. Chang, W. H.; Hatayama, S.; Saito, Y.; Okada, N.; Endo, T.; Miyata, Y.; Irisawa, T. Sb2Te3/MoS2 van der Waals junctions with high thermal stability and low contact resistance. Adv. Electron. Mater. 2023, 9, 2201091.

    CAS  Google Scholar 

  23. Wu, Y. H.; Xin, Z. Q.; Zhang, Z. B.; Wang, B. L.; Peng, R. X.; Wang, E. Z.; Shi, R.; Liu, Y. Q.; Guo, J.; Liu, K. H. et al. All-transfer electrode interface engineering toward harsh-environment-resistant MoS2 field-effect transistors. Adv. Mater. 2023, 35, 2210735.

    CAS  Google Scholar 

  24. Cheng, Z. H.; Yu, Y. F.; Singh, S.; Price, K.; Noyce, S. G.; Lin, Y. C.; Cao, L. Y.; Franklin, A. D. Immunity to contact scaling in MoS2 transistors using in situ edge contacts. Nano Lett. 2019, 19, 5077–5085.

    CAS  Google Scholar 

  25. Jiang, J. F.; Xu, L.; Du, L. J.; Li, L.; Zhang, G. Y.; Qiu, C. G.; Peng, L. M. Yttrium-induced phase-transition technology for forming perfect ohmic contact in two-dimensional MoS2 transistors. Res. Square, in press, https://doi.org/10.21203/rs.3.rs-2508636/v1.

  26. IEEE. International roadmap for devices and system2022 update [Online]. https://irds.ieee.org/images/files/pdf/20222022IRDS_/MM.pdf (accessed Feb. 15, 2023).

  27. IEEE. International roadmap for devices and system2022 edition. Beyond CMOS and emerging materials integration [Online]. https://irds.ieee.org/images/files/pdf/2022/2022IRDS_/BC.pdf (accessed Feb. 15, 2023).

  28. Chou, A. S.; Cheng, C. C.; Liew, S. L.; Ho, P. H.; Wang, S. Y.; Chang, Y. C.; Chang, C. K.; Su, Y. C.; Huang, Z. D.; Fu, F. Y. et al. High on-state current in chemical vapor deposited monolayer MoS2 nFETs with Sn ohmic contacts. IEEE Electron Device Lett. 2021, 42, 272–275.

    CAS  Google Scholar 

  29. Das, S.; Chen, H. Y.; Penumatcha, A. V.; Appenzeller, J. High performance multilayer MoS2 transistors with scandium contacts. Nano Lett. 2013, 13, 100–105.

    CAS  Google Scholar 

  30. Mleczko, M. J.; Yu, A. C.; Smyth, C. M.; Chen, V.; Shin, Y. C.; Chatterjee, S.; Tsai, Y. C.; Nishi, Y.; Wallace, R. M.; Pop, E. Contact engineering high-performance n-type MoTe2 transistors. Nano Lett. 2019, 19, 6352–6362.

    CAS  Google Scholar 

  31. Ma, H. F.; Huang, K. J.; Wu, R. X.; Zhang, Z. W.; Li, J.; Zhao, B.; Dai, C.; Huang, Z. W.; Zhang, H. M.; Yang, X. D. et al. In-plane epitaxial growth of 2D CoSe-WSe2 metal-semiconductor lateral heterostructures with improved WSe2 transistors performance. InfoMat 2021, 3, 222–228.

    CAS  Google Scholar 

  32. Balestra, F.; Hafez, L.; Ghibaudo, G. A new method for the extraction of MOSFET parameters at ambient and liquid helium temperatures. J. Phys. Colloques 1988, 49, C4–817–C4–820.

    Google Scholar 

  33. Chang, H. Y.; Zhu, W. N.; Akinwande, D. On the mobility and contact resistance evaluation for transistors based on MoS2 or two-dimensional semiconducting atomic crystals. Appl. Phys. Lett. 2014, 104, 113504.

    Google Scholar 

  34. Wang, Y.; Chhowalla, M. Making clean electrical contacts on 2D transition metal dichalcogenides. Nat. Rev. Phys. 2022, 4, 101–112.

    CAS  Google Scholar 

  35. He, J.; Zhang, X.; Wang, Y. Y.; Huang, R. New method for extraction of MOSFET parameters. IEEE Electron Device Lett. 2001, 22, 597–599.

    Google Scholar 

  36. Ghibaudo, G. New method for the extraction of MOSFET parameters. Electron. Lett. 1988, 24, 543–545.

    Google Scholar 

  37. Rodder, M. A.; Dodabalapur, A. Modeling of a back-gated monolayer MoS2 FET by extraction of an accurate threshold voltage and gate-bias-dependent source/drain resistance. IEEE J. Electron Devices Soc. 2017, 5, 384–389.

    CAS  Google Scholar 

  38. Minder, N. A.; Ono, S.; Chen, Z.; Facchetti, A.; Morpurgo, A. F. Band-like electron transport in organic transistors and implication of the molecular structure for performance optimization. Adv. Mater. 2012, 24, 503–508.

    CAS  Google Scholar 

  39. Choi, H. H.; Rodionov, Y. I.; Paterson, A. F.; Panidi, J.; Saranin, D.; Kharlamov, N.; Didenko, S. I.; Anthopoulos, T. D.; Cho, K.; Podzorov, V. Accurate extraction of charge carrier mobility in 4-probe field-effect transistors. Adv. Funct. Mater. 2018, 28, 1707105.

    Google Scholar 

  40. English, C. D.; Shine, G.; Dorgan, V. E.; Saraswat, K. C.; Pop, E. Improved contacts to MoS2 transistors by ultra-high vacuum metal deposition. Nano Lett. 2016, 16, 3824–3830.

    CAS  Google Scholar 

  41. Liu, Y.; Duan, X. D.; Shin, H. J.; Park, S.; Huang, Y.; Duan, X. F. Promises and prospects of two-dimensional transistors. Nature 2021, 591, 43–53.

    CAS  Google Scholar 

  42. ITRS. International Technology Roadmap for Semiconductors 2.0: Executive Report [Online]. 2015. https://www.semiconductors.org//wp-content/uploads/2018/06/0_2015-ITRS-2.0-Executive-Report-1.pdf (accessed Mar. 20, 2019).

  43. Schranghamer, T. F.; Sakib, N. U.; Sadaf, M. U. K.; Subbulakshmi Radhakrishnan, S.; Pendurthi, R.; Agyapong, A. D.; Stepanoff, S. P.; Torsi, R.; Chen, C.; Redwing, J. M. et al. Ultrascaled contacts to monolayer MoS2 field effect transistors. Nano Lett. 2023, 23, 3426–3434.

    CAS  Google Scholar 

  44. Cheng, Z. H.; Backman, J.; Zhang, H. R.; Abuzaid, H.; Li, G. Q.; Yu, Y. F.; Cao, L. Y.; Davydov, A. V.; Luisier, M.; Richter, C. A. et al. Distinct contact scaling effects in MoS2 transistors revealed with asymmetrical contact measurements. Adv. Mater. 2023, 35, 2210916.

    CAS  Google Scholar 

  45. Smets, Q.; Arutchelvan, G.; Jussot, J.; Verreck, D.; Asselberghs, I.; Mehta, A. N.; Gaur, A.; Lin, D.; El Kazzi, S.; Groven, B. et al. Ultra-scaled MOCVD MoS2 MOSFETs with 42 nm contact pitch and 250 µA/µm drain current. In 2019 IEEE International Electron Devices Meeting (IEDML), San Francisco, CA, USA, 2019, pp 23.2.1-23.2.4.

  46. Smets, Q.; Schram, T.; Verreck, D.; Cott, D.; Groven, B.; Ahmed, Z.; Kaczer, B.; Mitard, J.; Wu, X. Y.; Kundu, S. et al. Scaling of double-gated WS2 FETs to sub-5nm physical gate length fabricated in a 300 mm FAB. In 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp 34.2.1-34.2.4.

  47. Wang, Q. S.; Zheng, X. B.; Wu, J. B.; Wang, Y.; Wang, D. S.; Li, Y. D. Recent progress in thermal conversion of CO2 via single-atom site catalysis. Small Struct. 2022, 3, 2200059.

    CAS  Google Scholar 

  48. Kumar, A.; Schauble, K.; Neilson, K. M.; Tang, A.; Ramesh, P.; Wong, H. S. P.; Pop, E.; Saraswat, K. Sub-200 Ω·µm alloyed contacts to synthetic monolayer MoS2. In 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp 7.3.1-7.3.4.

  49. Freedy, K. M.; Zhang, H. R.; Litwin, P. M.; Bendersky, L. A.; Davydov, A. V.; McDonnell, S. Thermal stability of titanium contacts to MoS2. ACS Appl. Mater. Interfaces 2019, 11, 35389–35393.

    CAS  Google Scholar 

  50. Allain, A.; Kang, J. H.; Banerjee, K.; Kis, A. Electrical contacts to two-dimensional semiconductors. Nat. Mater. 2015, 14, 1195–1205.

    CAS  Google Scholar 

  51. Kang, J. H.; Liu, W.; Sarkar, D.; Jena, D.; Banerjee, K. Computational study of metal contacts to monolayer transition-metal dichalcogenide semiconductors. Phys. Rev. X 2014, 4, 031005.

    CAS  Google Scholar 

  52. Hu, Z. H.; Wu, Z. T.; Han, C.; He, J.; Ni, Z. H.; Chen, W. Two-dimensional transition metal dichalcogenides: Interface and defect engineering. Chem. Soc. Rev. 2018, 47, 3100–3128.

    CAS  Google Scholar 

  53. Addou, R.; Colombo, L.; Wallace, R. M. Surface defects on natural MoS2. ACS Appl. Mater. Interfaces 2015, 7, 11921–11929.

    CAS  Google Scholar 

  54. Zhang, X. K.; Gao, L.; Yu, H. H.; Liao, Q. L.; Kang, Z.; Zhang, Z.; Zhang, Y. Single-atom vacancy doping in two-dimensional transition metal dichalcogenides. Acc. Mater. Res. 2021, 2, 655–668.

    CAS  Google Scholar 

  55. Zhang, X. K.; Liao, Q. L.; Kang, Z.; Liu, B. S.; Liu, X. Z.; Ou, Y.; Xiao, J. K.; Du, J. L.; Liu, Y. H.; Gao, L. et al. Hidden vacancy benefit in monolayer 2D semiconductors. Adv. Mater. 2021, 33, 2007051.

    CAS  Google Scholar 

  56. Somvanshi, D.; Kallatt, S.; Venkatesh, C.; Nair, S.; Gupta, G.; Anthony, J. K.; Karmakar, D.; Majumdar, K. Nature of carrier injection in metal/2D-semiconductor interface and its implications for the limits of contact resistance. Phys. Rev. B 2017, 96, 205423.

    Google Scholar 

  57. Léonard, F.; Talin, A. A. Electrical contacts to one- and two-dimensional nanomaterials. Nat. Nanotechnol. 2011, 6, 773–783.

    Google Scholar 

  58. Lin, Y.; Shen, P. C.; Su, C.; Chou, A. S.; Wu, T.; Cheng, C. C.; Park, J. H.; Chiu, M. H.; Lu, A. Y.; Tang, H. L. et al. Contact engineering for high-performance N-type 2D semiconductor transistors. In 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021, pp 37.2.1-37.2.4.

  59. Kim, G. S.; Kim, S. H.; Park, J.; Han, K. H.; Kim, J.; Yu, H. Y. Schottky barrier height engineering for electrical contacts of multilayered MoS2 transistors with reduction of metal-induced gap states. ACS Nano 2018, 12, 6292–6300.

    CAS  Google Scholar 

  60. Gao, L.; Zhang, X. K.; Yu, H. H.; Hong, M. Y.; Wei, X. F.; Chen, Z. Y.; Zhang, Q. H.; Liao, Q. L.; Zhang, Z.; Zhang, Y. Deciphering vacancy defect evolution of 2D MoS2 for reliable transistors. ACS Appl. Mater. Interfaces 2023, 15, 38603–38611.

    CAS  Google Scholar 

  61. Tan, J. Y.; Li, S. S.; Liu, B. L.; Cheng, H. M. Structure, preparation, and applications of 2D material-based metal-semiconductor heterostructures. Small Struct. 2021, 2, 2000093.

    CAS  Google Scholar 

  62. Kim, C.; Moon, I.; Lee, D.; Choi, M. S.; Ahmed, F.; Nam, S.; Cho, Y.; Shin, H. J.; Park, S.; Yoo, W. J. Fermi level pinning at electrical metal contacts of monolayer molybdenum dichalcogenides. ACS Nano 2017, 11, 1588–1596.

    CAS  Google Scholar 

  63. Robertson, J. Band offsets, Schottky barrier heights, and their effects on electronic devices. J. Vac. Sci. Technol., A. 2013, 31, 050821.

    Google Scholar 

  64. Zhang, X. K.; Liao, Q. L.; Liu, S.; Kang, Z.; Zhang, Z.; Du, J. L.; Li, F.; Zhang, S. H.; Xiao, J. K.; Liu, B. S. et al. Poly(4-styrenesulfonate)-induced sulfur vacancy self-healing strategy for monolayer MoS2 homojunction photodiode. Nat. Commun. 2017, 8, 15881.

    CAS  Google Scholar 

  65. Gao, L.; Liao, Q. L.; Zhang, X. K.; Liu, X. Z.; Gu, L.; Liu, B. S.; Du, J. L.; Ou, Y.; Xiao, J. K.; Kang, Z. et al. Defect-engineered atomically thin MoS2 homogeneous electronics for logic inverters. Adv. Mater. 2020, 32, 1906646.

    CAS  Google Scholar 

  66. Zhang, J. Y.; Yu, Y.; Wang, P.; Luo, C.; Wu, X.; Sun, Z. Q.; Wang, J. L.; Hu, W. D.; Shen, G. Z. Characterization of atomic defects on the photoluminescence in two-dimensional materials using transmission electron microscope. InfoMat 2019, 1, 85–97.

    CAS  Google Scholar 

  67. Tong, X.; Liu, K. L.; Zeng, M. Q.; Fu, L. Vapor-phase growth of high-quality wafer-scale two-dimensional materials. InfoMat 2019, 1, 460–478.

    CAS  Google Scholar 

  68. Xu, T.; Li, S. H.; Li, A. L.; Yu, Y.; Zhang, H.; Hu, P.; Zhou, W. Z.; Sheng, L. P.; Jiang, T.; Cheng, H. F. et al. Structural evolution of atomically thin 1T’-MoTe2 alloyed in chalcogen atmosphere. Small Struct. 2022, 3, 2200025.

    CAS  Google Scholar 

  69. Ly, T. H.; Perello, D. J.; Zhao, J.; Deng, Q. M.; Kim, H.; Han, G. H.; Chae, S. H.; Jeong, H. Y.; Lee, Y. H. Misorientation-angle-dependent electrical transport across molybdenum disulfide grain boundaries. Nat. Commun. 2016, 7, 10426.

    CAS  Google Scholar 

  70. Zhu, J. D.; Park, J. H.; Vitale, S. A.; Ge, W. J.; Jung, G. S.; Wang, J. T.; Mohamed, M.; Zhang, T. Y.; Ashok, M.; Xue, M. T. et al. Low-thermal-budget synthesis of monolayer molybdenum disulfide for silicon back-end-of-line integration on a 200 mm platform. Nat. Nanotechnol. 2023, 18, 456–463.

    CAS  Google Scholar 

  71. Ahn, G. H.; Amani, M.; Rasool, H.; Lien, D. H.; Mastandrea, J. P.; Ager III, J. W.; Dubey, M.; Chrzan, D. C.; Minor, A. M.; Javey, A. Strain-engineered growth of two-dimensional materials. Nat. Commun. 2017, 8, 608.

    Google Scholar 

  72. Bertoldo, F.; Unocic, R. R.; Lin, Y. C.; Sang, X. H.; Puretzky, A. A.; Yu, Y. L.; Miakota, D.; Rouleau, C. M.; Schou, J.; Thygesen, K. S. et al. Intrinsic defects in MoS2 grown by pulsed laser deposition: From monolayers to bilayers. ACS Nano 2021, 15, 2858–2868.

    CAS  Google Scholar 

  73. Rhodes, D.; Chae, S. H.; Ribeiro-Palau, R.; Hone, J. Disorder in van der Waals heterostructures of 2D materials. Nat. Mater. 2019, 18, 541–549.

    CAS  Google Scholar 

  74. François Léonard, J. T. Role of Fermi-level pinning in nanotube Schottky diodes. Phy. Rev. Lett. 2000, 84, 4693.

    Google Scholar 

  75. Liu, L. T.; Kong, L. G.; Li, Q. Y.; He, C. L.; Ren, L. W.; Tao, Q. Y.; Yang, X. D.; Lin, J.; Zhao, B.; Li, Z. W. et al. Transferred van der Waals metal electrodes for sub-1-nm MoS2 vertical transistors. Nat. Electron. 2021, 4, 342–347.

    CAS  Google Scholar 

  76. Zhang, X. K.; Liu, B. S.; Gao, L.; Yu, H. H.; Liu, X. Z.; Du, J. L.; Xiao, J. K.; Liu, Y. H.; Gu, L.; Liao, Q. L. et al. Near-ideal van der Waals rectifiers based on all-two-dimensional Schottky junctions. Nat. Commun. 2021, 12, 1522.

    CAS  Google Scholar 

  77. Liu, Y. Y.; Stradins, P.; Wei, S. H. van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier. Sci. Adv. 2016, 2, e1600069.

    Google Scholar 

  78. Liu, G. Y.; Tian, Z. A.; Yang, Z. Y.; Xue, Z. Y.; Zhang, M.; Hu, X. D.; Wang, Y.; Yang, Y. K.; Chu, P. K.; Mei, Y. F. et al. Graphene-assisted metal transfer printing for wafer-scale integration of metal electrodes and two-dimensional materials. Nat. Electron. 2022, 5, 275–280.

    CAS  Google Scholar 

  79. Kwon, G.; Choi, Y. H.; Lee, H.; Kim, H. S.; Jeong, J.; Jeong, K.; Baik, M.; Kwon, H.; Ahn, J.; Lee, E. et al. Interaction- and defect-free van der Waals contacts between metals and two-dimensional semiconductors. Nat. Electron. 2022, 5, 241–247.

    CAS  Google Scholar 

  80. Zhang, S. Y.; Hill, H. M.; Moudgil, K.; Richter, C. A.; Hight Walker, A. R.; Barlow, S.; Marder, S. R.; Hacker, C. A.; Pookpanratana, S. J. Controllable, wide-ranging n-doping and p-doping of monolayer group 6 transition-metal disulfides and diselenides. Adv. Mater. 2018, 30, 1802991.

    Google Scholar 

  81. Nipane, A.; Karmakar, D.; Kaushik, N.; Karande, S.; Lodha, S. Few-layer MoS2 p-type devices enabled by selective doping using low energy phosphorus implantation. ACS Nano. 2016, 10, 2128–2137.

    CAS  Google Scholar 

  82. Sreeprasad, T. S.; Nguyen, P.; Kim, N.; Berry, V. Controlled, defect-guided, metal-nanoparticle incorporation onto MoS2 via chemical and microwave routes: Electrical, thermal, and structural properties. Nano Lett. 2013, 13, 4434–4441.

    CAS  Google Scholar 

  83. Xu, K.; Wang, Z. X.; Du, X. L.; Safdar, M.; Jiang, C.; He, J. Atomic-layer triangular WSe2 sheets: Synthesis and layer-dependent photoluminescence property. Nanotechnology 2013, 24, 465705.

    Google Scholar 

  84. Azcatl, A.; Qin, X. Y.; Prakash, A.; Zhang, C. X.; Cheng, L. X.; Wang, Q. X.; Lu, N.; Kim, M. J.; Kim, J.; Cho, K. et al. Covalent nitrogen doping and compressive strain in MoS2 by remote N2 plasma exposure. Nano Lett. 2016, 16, 5437–5443.

    CAS  Google Scholar 

  85. Fang, H.; Tosun, M.; Seol, G.; Chang, T. C.; Takei, K.; Guo, J.; Javey, A. Degenerate n-doping of few-layer transition metal dichalcogenides by potassium. Nano Lett. 2013, 13, 1991–1995.

    CAS  Google Scholar 

  86. Tarasov, A.; Zhang, S. Y.; Tsai, M. Y.; Campbell, P. M.; Graham, S.; Barlow, S.; Marder, S. R.; Vogel, E. M. Controlled doping of large-area trilayer MoS2 with molecular reductants and oxidants. Adv. Mater. 2015, 27, 1175–1181.

    CAS  Google Scholar 

  87. Xiong, Y. H.; Xu, D.; Feng, Y. P.; Zhang, G. J.; Lin, P.; Chen, X. P-type 2D semiconductors for future electronics. Adv. Mater., in press, https://doi.org/10.1002/adma.202206939.

  88. Suh, J.; Park, T. E.; Lin, D. Y.; Fu, D. Y.; Park, J.; Jung, H. J.; Chen, Y. B.; Ko, C.; Jang, C.; Sun, Y. H. et al. Doping against the native propensity of MoS2: Degenerate hole doping by cation substitution. Nano Lett. 2014, 14, 6976–6982.

    CAS  Google Scholar 

  89. Zhang, X. K.; Yu, H. H.; Tang, W. H.; Wei, X. F.; Gao, L.; Hong, M. Y.; Liao, Q. L.; Kang, Z.; Zhang, Z.; Zhang, Y. All-van-der-Waals barrier-free contacts for high-mobility transistors. Adv. Mater. 2022, 34, 2109521.

    CAS  Google Scholar 

  90. Liu, B. S.; Zhang, X. K.; Du, J. L.; Xiao, J. K.; Yu, H. H.; Hong, M. Y.; Gao, L.; Ou, Y.; Kang, Z.; Liao, Q. L. et al. Synergistic-engineered van der Waals photodiodes with high efficiency. InfoMat 2022, 4, e12282.

    CAS  Google Scholar 

  91. Hu, Z. H.; Liu, X.; Hernández-Martínez, P. L.; Zhang, S. S.; Gu, P.; Du, W.; Xu, W. G.; Demir, H. V.; Liu, H. Y.; Xiong, Q. H. Interfacial charge and energy transfer in van der Waals heterojunctions. InfoMat 2022, 4, e12290.

    CAS  Google Scholar 

  92. Beddiar, M. I.; Zhang, X. K.; Liu, B. S.; Zhang, Z.; Zhang, Y. Ambipolar-to-unipolar conversion in ultrathin 2D semiconductors. Small Struct. 2022, 3, 2200125.

    CAS  Google Scholar 

  93. Liu, B. S.; Liao, Q. L.; Zhang, X. K.; Du, J. L.; Ou, Y.; Xiao, J. K.; Kang, Z.; Zhang, Z.; Zhang, Y. Strain-engineered van der Waals interfaces of mixed-dimensional heterostructure arrays. ACS Nano 2019, 13, 9057–9066.

    CAS  Google Scholar 

  94. Du, J. L.; Liao, Q. L.; Liu, B. S.; Zhang, X. K.; Yu, H. H.; Ou, Y.; Xiao, J. K.; Kang, Z.; Si, H. N.; Zhang, Z. et al. Gate-controlled polarity-reversible photodiodes with ambipolar 2D semiconductors. Adv. Funct. Mater. 2021, 31, 2007559.

    CAS  Google Scholar 

  95. Liu, X. C.; Choi, M. S.; Hwang, E.; Yoo, W. J.; Sun, J. Fermi level pinning dependent 2D semiconductor devices: Challenges and prospects. Adv. Mater. 2022, 34, 2108425.

    CAS  Google Scholar 

  96. Schulman, D. S.; Arnold, A. J.; Das, S. Contact engineering for 2D materials and devices. Chem. Soc. Rev. 2018, 47, 3037–3058.

    CAS  Google Scholar 

  97. Wang, Y.; Kim, J. C.; Li, Y.; Ma, K. Y.; Hong, S.; Kim, M.; Shin, H. S.; Jeong, H. Y.; Chhowalla, M. p-type electrical contacts for 2D transition-metal dichalcogenides. Nature 2022, 610, 61–66.

    Google Scholar 

  98. Neal, A. T.; Liu, H.; Gu, J. J.; Ye, P. D. Metal contacts to MoS2: A two-dimensional semiconductor. In the 70th Device Research Conference, University Park, PA, USA, 2012, pp. 65–66.

  99. Farmanbar, M.; Brocks, G. Ohmic contacts to 2D semiconductors through van der Waals bonding. Adv. Electron. Mater. 2016, 2, 1500405.

    Google Scholar 

  100. Wang, J. L.; Yao, Q.; Huang, C. W.; Zou, X. M.; Liao, L.; Chen, S. S.; Fan, Z. Y.; Zhang, K.; Wu, W.; Xiao, X. H. et al. High mobility MoS2 transistor with low Schottky barrier contact by using atomic thick h-BN as a tunneling layer. Adv. Mater. 2016, 28, 8302–8308.

    CAS  Google Scholar 

  101. Chen, J. R.; Odenthal, P. M.; Swartz, A. G.; Floyd, G. C.; Wen, H.; Luo, K. Y.; Kawakami, R. K. Control of Schottky barriers in single layer MoS2 transistors with ferromagnetic contacts. Nano Lett. 2013, 13, 3106–3110.

    CAS  Google Scholar 

  102. Chuang, S.; Battaglia, C.; Azcatl, A.; McDonnell, S.; Kang, J. S.; Yin, X. T.; Tosun, M.; Kapadia, R.; Fang, H.; Wallace, R. M. et al. MoS2 p-type transistors and diodes enabled by high work function MoOx contacts. Nano Lett. 2014, 14, 1337–1342.

    CAS  Google Scholar 

  103. Park, W.; Kim, Y. H.; Lee, S. K.; Jung, U.; Yang, J. H.; Cho, C.; Kim, Y. J.; Lim, S. K.; Hwang, I. S.; Lee, H. B. R. et al. Contact resistance reduction using Fermi level de-pinning layer for MoS2 FETs. In 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 2014, pp 5.1.1-5.1.4.

  104. Kaushik, N.; Karmakar, D.; Nipane, A.; Karande, S.; Lodha, S. Interfacial n-doping using an ultrathin TiO2 layer for contact resistance reduction in MoS2. ACS Appl. Mater. Interfaces 2016, 8, 256–263.

    CAS  Google Scholar 

  105. Gupta, S.; Paramahans Manik, P.; Kesh Mishra, R.; Nainani, A.; Abraham, M. C.; Lodha, S. Contact resistivity reduction through interfacial layer doping in metal-interfacial layer-semiconductor contacts. J. Appl. Phys. 2013, 113, 234505.

    Google Scholar 

  106. Lee, S.; Tang, A.; Aloni, S.; Wong, H. S. P. Statistical study on the Schottky barrier reduction of tunneling contacts to CVD synthesized MoS2. Nano Lett. 2016, 16, 276–281.

    CAS  Google Scholar 

  107. Du, Y. C.; Yang, L. M.; Zhang, J. Y.; Liu, H.; Majumdar, K.; Kirsch, P. D.; Ye, P. D. MoS2 field-effect transistors with graphene/metal heterocontacts. IEEE Electron Device Lett. 2014, 35, 599–601.

    CAS  Google Scholar 

  108. Leong, W. S.; Luo, X.; Li, Y. D.; Khoo, K. H.; Quek, S. Y.; Thong, J. T. L. Low resistance metal contacts to MoS2 devices with nickel-etched-graphene electrodes. ACS Nano 2015, 9, 869–877.

    CAS  Google Scholar 

  109. Liu, Y.; Wu, H.; Cheng, H. C.; Yang, S.; Zhu, E. B.; He, Q. Y.; Ding, M. N.; Li, D. H.; Guo, J.; Weiss, N. O. et al. Toward barrier free contact to molybdenum disulfide using graphene electrodes. Nano Lett. 2015, 15, 3030–3034.

    CAS  Google Scholar 

  110. Liu, Y.; Guo, J.; Wu, Y. C.; Zhu, E. B.; Weiss, N. O.; He, Q. Y.; Wu, H.; Cheng, H. C.; Xu, Y.; Shakir, I. et al. Pushing the performance limit of sub-100 nm molybdenum disulfide transistors. Nano Lett. 2016, 16, 6337–6342.

    CAS  Google Scholar 

  111. Chee, S. S.; Seo, D.; Kim, H.; Jang, H.; Lee, S.; Moon, S. P.; Lee, K. H.; Kim, S. W.; Choi, H.; Ham, M. H. Lowering the Schottky barrier height by graphene/Ag electrodes for high-mobility MoS2 field-effect transistors. Adv. Mater. 2019, 31, 1804422.

    Google Scholar 

  112. Seo, D.; Lee, D. Y.; Kwon, J.; Lee, J. J.; Taniguchi, T.; Watanabe, K.; Lee, G. H.; Kim, K. S.; Hone, J.; Kim, Y. D. et al. High-performance monolayer MoS2 field-effect transistor with large-scale nitrogen-doped graphene electrodes for Ohmic contact. Appl. Phys. Lett. 2019, 115, 012104.

    Google Scholar 

  113. Chuang, H. J.; Chamlagain, B.; Koehler, M.; Perera, M. M.; Yan, J. Q.; Mandrus, D.; Tománek, D.; Zhou, Z. X. Low-resistance 2D/2D ohmic contacts: A universal approach to high-performance WSe2, MoS2, and MoSe2 transistors. Nano Lett. 2016, 16, 1896–1902.

    CAS  Google Scholar 

  114. Sata, Y.; Moriya, R.; Masubuchi, S.; Watanabe, K.; Taniguchi, T.; Machida, T. n- and p-type carrier injections into WSe2 with van der Waals contacts of two-dimensional materials. Jpn. J. Appl. Phys. 2017, 56, 04CK09.

    Google Scholar 

  115. Wu, R. X.; Tao, Q. Y.; Dang, W. Q.; Liu, Y.; Li, B.; Li, J.; Zhao, B.; Zhang, Z. W.; Ma, H. F.; Sun, G. Z. et al. van der Waals epitaxial growth of atomically thin 2D metals on dangling-bond-free WSe2 and WS2. Adv. Funct. Mater. 2019, 29, 1806611.

    Google Scholar 

  116. Jang, J.; Ra, H. S.; Ahn, J.; Kim, T. W.; Song, S. H.; Park, S.; Taniguch, T.; Watanabe, K.; Lee, K.; Hwang, D. K. Fermi-level pinning-free WSe2 transistors via 2D van der Waals metal contacts and their circuits. Adv. Mater. 2022, 34, 2109899.

    CAS  Google Scholar 

  117. Wu, R. X.; Tao, Q. Y.; Li, J.; Li, W.; Chen, Y.; Lu, Z. Y.; Shu, Z. W.; Zhao, B.; Ma, H. F.; Zhang, Z. W. et al. Bilayer tungsten diselenide transistors with on-state currents exceeding 1.5 milliamperes per micrometre. Nat. Electron. 2022, 5, 497–504.

    CAS  Google Scholar 

  118. Tang, W. H.; Zhang, X. K.; Yu, H. H.; Gao, L.; Zhang, Q. H.; Wei, X. F.; Hong, M. Y.; Gu, L.; Liao, Q. L.; Kang, Z. et al. A van der Waals ferroelectric tunnel junction for ultrahigh-temperature operation memory. Small Methods 2022, 6, 2101583.

    CAS  Google Scholar 

  119. Zhang, X. K.; Zhang, Y. Z.; Yu, H. H.; Zhao, H.; Cao, Z. H.; Zhang, Z.; Zhang, Y. van der Waals-interface-dominated all-2D electronics. Adv. Mater., in press, https://doi.org/10.1002/adma.202207966.

  120. Liu, L. X.; Zhai, T. Y. Wafer-scale vertical van der Waals heterostructures. InfoMat 2021, 3, 3–21.

    Google Scholar 

  121. Zhang, Z.; Lin, P.; Liao, Q. L.; Kang, Z.; Si, H. N.; Zhang, Y. Graphene-based mixed-dimensional van der Waals heterostructures for advanced optoelectronics. Adv. Mater. 2019, 31, 1806411.

    Google Scholar 

  122. Kang, J.; Liu, W.; Banerjee, K. High-performance MoS2 transistors with low-resistance molybdenum contacts. Appl. Phy. Lett. 2014, 104, 093106.

    Google Scholar 

  123. Zhang, X. K.; Kang, Z.; Gao, L.; Liu, B. S.; Yu, H. H.; Liao, Q. L.; Zhang, Z.; Zhang, Y. Molecule-upgraded van der Waals contacts for Schottky-barrier-free electronics. Adv. Mater. 2021, 33, 2104935.

    CAS  Google Scholar 

  124. Jung, Y.; Choi, M. S.; Nipane, A.; Borah, A.; Kim, B.; Zangiabadi, A.; Taniguchi, T.; Watanabe, K.; Yoo, W. J.; Hone, J. et al. Transferred via contacts as a platform for ideal two-dimensional transistors. Nat. Electron. 2019, 2, 187–194.

    Google Scholar 

  125. Yang, X. D.; Li, J.; Song, R.; Zhao, B.; Tang, J. M.; Kong, L. G.; Huang, H.; Zhang, Z. W.; Liao, L.; Liu, Y. et al. Highly reproducible van der Waals integration of two-dimensional electronics on the wafer scale. Nat. Nanotechnol. 2023, 18, 471–478.

    CAS  Google Scholar 

  126. Li, W. S.; Gong, X. S.; Yu, Z. H.; Ma, L.; Sun, W. J.; Gao, S.; Köroğlu, Ç.; Wang, W. F.; Liu, L.; Li, T. T. et al. Approaching the quantum limit in two-dimensional semiconductor contacts. Nature 2023, 613, 274–279.

    CAS  Google Scholar 

  127. Cao, Z. H.; Lin, F. R.; Gong, G.; Chen, H.; Martin, J. Low Schottky barrier contacts to 2H-MoS2 by Sn electrodes. Appl. Phys. Lett. 2020, 116, 022101.

    Google Scholar 

  128. Fang, H.; Chuang, S.; Chang, T. C.; Takei, K.; Takahashi, T.; Javey, A. High-performance single layered WSe2 p-FETs with chemically doped contacts. Nano Lett. 2012, 12, 3788–3792.

    CAS  Google Scholar 

  129. Kim, J.; Jung, M.; Lim, D. U.; Rhee, D.; Jung, S. H.; Cho, H. K.; Kim, H.-K.; Cho, J. H.; Kang, J. Area-selective chemical doping on solution-processed MoS2 thin-film for multi-valued logic gates. Nano Lett. 2021, 22, 570–577.

    Google Scholar 

  130. Yang, L. M.; Majumdar, K.; Liu, H.; Du, Y. C.; Wu, H.; Hatzistergos, M.; Hung, P. Y.; Tieckelmann, R.; Tsai, W.; Hobbs, C. et al. Chloride molecular doping technique on 2D materials: WS2 and MoS2. Nano Lett. 2014, 14, 6275–6280.

    CAS  Google Scholar 

  131. Rai, A.; Valsaraj, A.; Movva, H. C. P.; Roy, A.; Ghosh, R.; Sonde, S.; Kang, S.; Chang, J.; Trivedi, T.; Dey, R. et al. Air stable doping and intrinsic mobility enhancement in monolayer molybdenum disulfide by amorphous titanium suboxide encapsulation. Nano Lett. 2010, 15, 4329–4336.

    Google Scholar 

  132. Jo, S. H.; Kang, D. H.; Shim, J.; Jeon, J.; Jeon, M. H.; Yoo, G.; Kim, J.; Lee, J.; Yeom, G. Y.; Lee, S. et al. A High-performance WSe2/h-BN photodetector using a triphenylphosphine (PPh3)-based n-doping technique. Adv. Mater. 2016, 28, 4824–4831.

    CAS  Google Scholar 

  133. Tosun, M.; Chan, L.; Amani, M.; Roy, T.; Ahn, G. H.; Taheri, P.; Carraro, C.; Ager, J. W.; Maboudian, R.; Javey, A. Air-stable n-doping of WSe2 by anion vacancy formation with mild plasma treatment. ACS Nano 2016, 10, 6853–6860.

    CAS  Google Scholar 

  134. Kang, W. M.; Lee, S.; Cho, I. T.; Park, T. H.; Shin, H.; Hwang, C. S.; Lee, C.; Park, B. G.; Lee, J. H. Multi-layer WSe2 field effect transistor with improved carrier-injection contact by using oxygen plasma treatment. Solid-State Electron. 2018, 140, 2–7.

    CAS  Google Scholar 

  135. Wang, L.; Meric, I.; Huang, P. Y.; Gao, Q.; Gao, Y.; Tran, H.; Taniguchi, T.; Watanabe, K.; Campos, L. M.; Muller, D. A. et al. One-dimensional electrical contact to a two-dimensional material. Science 2013, 342, 614–617.

    CAS  Google Scholar 

  136. Lin, Z. Y.; Wang, J. L.; Guo, X. Y.; Chen, J. W.; Xu, C.; Liu, M. Q.; Liu, B. L.; Zhu, Y.; Chai, Y. Interstitial copper-doped edge contact for n-type carrier transport in black phosphorus. InfoMat 2019, 1, 242–250.

    CAS  Google Scholar 

  137. Chu, C. H.; Lin, H. C.; Yeh, C. H.; Liang, Z. Y.; Chou, M. Y.; Chiu, P. W. End-bonded metal contacts on WSe2 field-effect transistors. ACS Nano 2019, 13, 8146–8154.

    CAS  Google Scholar 

  138. Cai, L.; He, J. F.; Liu, Q. H.; Yao, T.; Chen, L.; Yan, W. S.; Hu, F. C.; Jiang, Y.; Zhao, Y. D.; Hu, T. D. et al. Vacancy-induced ferromagnetism of MoS2 nanosheets. J. Am. Chem. Soc. 2015, 137, 2622–2627.

    CAS  Google Scholar 

  139. Yu, Z. G.; Zhang, Y. W.; Yakobson, B. I. An Anomalous formation Pathway for dislocation-sulfur vacancy complexes in polycrystalline monolayer MoS2. Nano Lett. 2015, 15, 6855–6861.

    CAS  Google Scholar 

  140. Yu, Z. H.; Pan, Y. M.; Shen, Y. T.; Wang, Z. L.; Ong, Z. Y.; Xu, T.; Xin, R.; Pan, L. J.; Wang, B. G.; Sun, L. T. et al. Towards intrinsic charge transport in monolayer molybdenum disulfide by defect and interface engineering. Nat. Commun. 2014, 5, 5290.

    CAS  Google Scholar 

  141. Choi, H.; Moon, B. H.; Kim, J. H.; Yun, S. J.; Han, G. H.; Lee, S. G.; Gul, H. Z.; Lee, Y. H. Edge contact for carrier injection and transport in MoS2 field-effect transistors. ACS Nano 2019, 13, 13169–13175.

    CAS  Google Scholar 

  142. Tongay, S.; Suh, J.; Ataca, C.; Fan, W.; Luce, A.; Kang, J. S.; Liu, J.; Ko, C.; Raghunathanan, R.; Zhou, J. et al. Defects activated photoluminescence in two-dimensional semiconductors: Interplay between bound, charged and free excitons. Sci. Rep. 2013, 3, 2657.

    Google Scholar 

  143. Abuzaid, H.; Cheng, Z. H.; Li, G. Q.; Cao, L. Y.; Franklin, A. D. Unanticipated polarity shift in edge-contacted tungsten-based 2D transition metal dichalcogenide transistors. IEEE Electron Device Lett. 2021, 42, 1563–1566.

    CAS  Google Scholar 

  144. Asselberghs, I.; Smets, Q.; Schram, T.; Groven, B.; Verreck, D.; Afzalian, A.; Arutchelvan, G.; Gaur, A.; Cott, D.; Maurice, T. et al. Wafer-scale integration of double gated WS2-transistors in 300mm Si CMOS fab. In 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2020, pp 40.2.1-40.2.4.

  145. Abraham, M.; Mohney, S. E. Annealed Ag contacts to MoS2 field-effect transistors. J. Appl. Phys. 2017, 122, 115306.

    Google Scholar 

Download references

Acknowledgments

This work was supported by the National Natural Science Foundation of China (Nos. 51991340, 51991342, 52225206, 92163205, 52188101, 62322402, 62204012, 52250398, 51972022, 52303362, and 62304019), the National Key Research and Development Program of China (Nos. 2022YFA1203800, 2022YFA1203803, and 2018YFA0703503), the Overseas Expertise Introduction Projects for Discipline Innovation (No. B14003), the Frontier Cross Research Project of the Department of Chinese Academy of Sciences (No. XK2023JSA001), the Beijing Nova Program (No. 20220484145), the Young Elite Scientists sponsorship program by CAST (No. 2022QNRC001), the Fundamental Research Funds for the Central Universities (No. FRF-06500207), the Interdisciplinary Research Project for Young Teachers of USTB (Fundamental Research Funds for the Central Universities, Nos. FRF-TP-22-004C2, FRF-IDRY-21-008, FRF-06500207, FRF-TP-22-004A1, and FRF-IDRY-22-016), the State Key Lab for Advanced Metals and Materials (No. 2023-Z05), and the Special support from the Postdoctoral Science Foundation (No. 8206400173).

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Xiankun Zhang, Zheng Zhang or Yue Zhang.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Gao, L., Chen, Z., Chen, C. et al. Silicon-processes-compatible contact engineering for two-dimensional materials integrated circuits. Nano Res. 16, 12471–12490 (2023). https://doi.org/10.1007/s12274-023-6167-z

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12274-023-6167-z

Keywords

Navigation