Skip to main content
Log in

Variation-aware fault modeling

  • Research Papers
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

To achieve a high product quality for nano-scale systems, both realistic defect mechanisms and process variations must be taken into account. While existing approaches for variation-aware digital testing either restrict themselves to special classes of defects or assume given probability distributions to model variabilities, the proposed approach combines defect-oriented testing with statistical library characterization. It uses Monte Carlo simulations at electrical level to extract delay distributions of cells in the presence of defects and for the defect-free case. This allows distinguishing the effects of process variations on the cell delay from defectinduced cell delays under process variations. To provide a suitable interface for test algorithms at higher levels of abstraction, the distributions are represented as histograms and stored in a histogram data base (HDB). Thus, the computationally expensive defect analysis needs to be performed only once as a preprocessing step for library characterization, and statistical test algorithms do not require any low level information beyond the HDB. The generation of the HDB is demonstrated for primitive cells in 45 nm technology.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. ITRS. International Technology Roadmap for Semiconductors. 2009 ed. http://www.itrs.net/Links/2009ITRS/Home2009.htm

  2. Hapke F, Krenz-Baath R, Glowatz A, et al. Defect-oriented cell-aware ATPG and fault simulation for industrial cell libraries and designs. In: Proceedings of IEEE International Test Conference, Austin, Texas, USA, 2009. Paper 1.2

  3. Ferguson F J, Shen J. Extraction and simulation of realistic CMOS faults using inductive fault analysis. In: Proceedings of IEEE International Test Conference, Washington DC, USA, 1988. 475–484

  4. Shen J P, Maly W, Ferguson F J. Inductive fault analysis of NMOS and CMOS circuits. IEEE Des Test, 1985, 2: 13–26

    Article  Google Scholar 

  5. Khare J, Maly W. From Contamination to Defects, Faults and Yield Loss. Dordrecht: Kluwer Academic Publishers, 1996

    Google Scholar 

  6. Srivastava A, Sylvester D, Blaauw D. Statistical Analysis and Optimization for VLSI: Timing and Power. New York: Springer, 2005

    Google Scholar 

  7. Stefano B, Bertozzi D, Benini L, et al. Process variation tolerant pipeline design through a placement-aware multiple voltage island design style. In: Proceedings of Design, Automation and Test in Europe, Munich, Germany, 2008. 967–972

  8. Aitken R C. Defect or variation? Characterizing standard cell behavior at 90 nm and below. IEEE Trans Semicond Manuf, 2008, 21: 46–54

    Article  Google Scholar 

  9. Ingelsson U, Al-Hashimi B M, Khursheed S, et al. Process variation-aware test for resistive bridges. IEEE Trans CAD Integr Circ Syst, 2009, 28: 1269–1274

    Article  Google Scholar 

  10. Xiong J, Shi Y, Zolotov V, et al. Statistical multilayer process space coverage for at-speed test. In: Proceedings of Design Automation Conference, San Francisco, CA, USA, 2009. 340–345

  11. DATE Workshop on Process Variability. New techniques for the design and test of nanoscale electronics. In: Proceedings of Design, Automation and Test in Europe, Nice, France, 2009

  12. Gizopoulos D, ed. Advances in Electronic Testing: Challenges and Methodologies (Frontiers in Electronic Testing). New York: Springer, 2006

    Google Scholar 

  13. Menezes N. The good, the bad, and the statistical. In: Proceedings of International Symposium on Physical Design, Austin, Texas, USA, 2007. 168

  14. Sachdev M, Pineda de Gyvez J. Defect-Oriented Testing for Nano-Metric CMOS VLSI Circuits (Frontiers in Electronic Testing). 2nd ed. New York: Springer, 2006

    Google Scholar 

  15. Sylvester D, Agarwal K, Shaha S. Variability in nanometer CMOS: Impact, analysis, and minimization. Integrat VLSI J, 2008, 41: 319–339

    Article  Google Scholar 

  16. Visweswariah C. Fear, uncertainty and statistics. In: Proceedings of International Symposium on Physical Design, Austin, Texas, USA, 2007. 169

  17. Schlichtmann U, Schmidt M, Kinzelbach H, et al. Digital design at a crossroads-how to make statistical design industrially relevant. In: Proceedings of Design, Automation and Test in Europe, Nice, France, 2009. 1542–1547

  18. Bounceur A, Mir S, Simeu E, et al. Estimation of Test Metrics for the Optimisation of Analogue Circuit Testing. J Electron Test Theory Appl, 2007, 23: 471–484

    Article  Google Scholar 

  19. Devarayanadurg G, Goteti P, Soma M. Hierarchy based statistical fault simulation of mixed-signal ICs. In: Proceedings of IEEE International Test Conference, Washington DC, USA, 1996. 521–527

  20. Gomes A V, Voorakaranam R, Chatterjee A. Modular fault simulation of mixed signal circuits with fault ranking by severity. In: Proceedings of International Symposium on Defect and Fault-Tolerance in VLSI Systems, Austin, TX, USA, 1998. 341–348

  21. Khouas A, Derieux A. Fault simulation for analog circuits under parameter variations. J Electron Test Theory Appl, 2000, 16: 269–278

    Article  Google Scholar 

  22. Liu F, Ozev S. Statistical test development for analog circuits under high process variations. IEEE Trans CAD Integr Circ Syst, 2007, 26: 1465–1477

    Article  Google Scholar 

  23. Peralta J, Peretti G, Romero E, et al. A new performance characterization of transient analysis method. Int J Electron Commun Comput Engineer, 2009, 1: 12–19

    Google Scholar 

  24. Saab K, Ben-Hamida N, Kaminska B. Parametric fault simulation and test vector generation. In: Proceedings of Design, Automation and Test in Europe, Paris, France, 2000. 650–657

  25. Spinks S J, Chalk C D, Bell I M, et al. Generation and verification of tests for analog circuits subject to process parameter deviations. J Electron Test Theory Appl, 2004, 20: 11–23

    Article  Google Scholar 

  26. Liou J J, Krstic A, Jiang Y M, et al. Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices. IEEE Trans CAD Integr Circ Syst, 2003, 22: 756–769

    Article  Google Scholar 

  27. Yilmaz M, Chakrabarty K, Tehranipoor M. Interconnect-aware and layout-oriented test pattern selection for small-delay defects. In: Proceedings of IEEE International Test Conference, Santa Clara, CA, USA, 2008. Paper 28.3

  28. Santos M B, Teixeira J P. Defect-oriented mixed-level fault simulation of digital systems-on-a-chip using HDL. In: Proceedings of Design, Automation and Test in Europe, Munich, Germany, 1999. 549–553

  29. Sato Y, Hamada S, Maeda T, et al. Invisible delay quality-SDQM model lights up what could not be seen. In: Proceedings of IEEE International Test Conference, Austin, TX, USA, 2005. Paper 47.1

  30. Pramanick A K, Reddy S M. On the detection of delay faults. In: Proceedings of IEEE International Test Conference, Washington DC, USA, 1988. 845–856

  31. Zeng J, Abadir M S, Kolhatkar A, et al. On correlating structural tests with functional tests for speed binning of high performance design. In: Proceedings of IEEE International Test Conference, Charlotte, NC, USA, 2004. 31–37

  32. Zolotov V, Visweswariah C, Xiong J. Voltage binning under process variation. In: Proceedings of International Conference on Computer-Aided Design, San Jose, CA, USA, 2009. 425–432

  33. Straube B, Müller B, Vermeiren W, et al. Analogue fault simulation by aFSIM. In: Proceedings of Design, Automation and Test in Europe Conference and Exhibition-User Forum, Paris, France, 2000. 205–210

  34. Nangate 45 nm Open Cell Library. http://www.nangate.com

  35. Hillebrecht S, Polian I, Engelke P, et al. Extraction, simulation and test generation for interconnect open defects based on enhanced aggressor-victim model. In: Proceedings of IEEE International Test Conference, Santa Clara, CA, USA, 2008. Paper 33.3

  36. Rodriguez-Montanes R, Pineda de Gyvez J, Volf P. Resistance characterization for weak open defects. IEEE Des Test Comput, 2002, 19: 18–26

    Article  Google Scholar 

  37. Rodriguez-Montanes R, Bruls E M J G, Figueras J. Bridging defects resistance in the metal layer of a CMOS process. J Electron Test Theory Appl, 2006, 8: 35–46

    Article  Google Scholar 

  38. Hirata A, Onodera H, Tamaru K. Estimation of propagation delay considering short-circuit current for static CMOS gates. IEEE Trans Circ Syst I Fund Theor Appl, 1998, 45: 1194–1198

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ilia Polian.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hopsch, F., Becker, B., Hellebrand, S. et al. Variation-aware fault modeling. Sci. China Inf. Sci. 54, 1813–1826 (2011). https://doi.org/10.1007/s11432-011-4367-8

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11432-011-4367-8

Keywords

Navigation