Skip to main content
Log in

Low Power and Suppressed Noise 6T, 7T SRAM Cell Using 18 nm FinFET

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

The fundamental components of maximum digital devices are memories and therefore stability, performance and efficiency of the system can be modified by decreasing the power requirement of memory. SRAM cells have less leakage making them suitable for portable and embedded devices. FinFETs are proven to be a promising candidate with high performance low power consumption features at lower technology nodes. Low power is one of the major concerns of a designer working on semiconductor memories which is possible by transistor leakage current reduction. In this work, a comparative analysis of CMOS, FinFET-based 6T and 7T SRAM cells is performed to obtain low leakage or low static power consumption with the increased value of static noise margin (SNM). It is found that an SRAM cell designed with 18 nm FinFET, has better stability and power handling capability compared to other CMOS-based SRAM’s. A high value of SNM is obtained in the FinFET-based 7T SRAM cell with static power consumption reduced by 20.2% for write ‘0’ process, 15.36% for write ‘1’ process, 18.62% for read ‘0’ process and 22.37% for read ‘1’ process respectively compared to CMOS 7T SRAM. The proposed 7T FinFET SRAM has 2.64 times more Read SNM; 1.082 times more Hold SNM and 1.064 times Write SNM compared to CMOS 7T SRAM. All the designs and simulations are carried out using Cadence Virtuoso ADE.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

Data Availability

The associated data will be made available on request.

Code Availability

The simulation work has been carried out in Cadence Virtuoso.

References

  1. International Technology Roadmap for Semiconductors. (2012).

  2. Kumar, T. S., & Tripathi, S. L. (2021). Process evaluation in FinFET based 7T SRAM cell. Analog Integrated Circuits and Signal Processing, 109, 545–551. https://doi.org/10.1007/s10470-021-01938-4

    Article  Google Scholar 

  3. Kumar, T. S., Tripathi, S. L., & Sinha, S. K. (2020). Comparative analysis of leakage power in 18 nm 7T and 8T SRAM cell implemented with SVL Technique. In International conference on intelligent engineering and management (ICIEM) (pp. 121–124), London, United Kingdom. https://doi.org/10.1109/ICIEM48762.2020.9160028

  4. Kim, T.-H., Liu, J., Keane, J., & Kim, C. H. (2008). A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE Journal of Solid-State Circuits, 43, 518–529.

    Article  Google Scholar 

  5. Jain, N. K., Rathore, N. K., & Mishra, A. (2018). An efficient image forgery detection using biorthogonal wavelet transform and improved relevance vector machine. Wireless Personal Communications, 101, 1983–2008. https://doi.org/10.1007/s11277-018-5802-6

    Article  Google Scholar 

  6. Rathore, N. (2018). Performance of hybrid load balancing algorithm in distributed web server system. Wireless Personal Communications, 101, 1233–1246. https://doi.org/10.1007/s11277-018-5758-6

    Article  Google Scholar 

  7. Rathore, N. K., Jain, N. K., Shukla, P. K., et al. (2021). Image forgery detection using singular value decomposition with some attacks. National Academy Science Letters, 44, 331–338. https://doi.org/10.1007/s40009-020-00998-w

    Article  MathSciNet  Google Scholar 

  8. Rathore, N. K., & Chana, I. J. (2016). Migration policies for grid environment. Wireless Personal Communications, 89, 241–269. https://doi.org/10.1007/s11277-016-3264-2

    Article  Google Scholar 

  9. Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2008). A 32 kb 10 T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. ISSCC Digest of Technical Papers, 3–7, 388–622.

    Google Scholar 

  10. Athe, P., & Dasgupta, S. (2009). A comparative study of 6T, 8T and 9T Deca nano SRAM cell. In IEEE symposium on industrial electronics & applications (pp. 889–894).

  11. Mazreah A. A., Sahebi, M. R., Manzuri M. T., & Javad Hosseini, S. (2008). A novel zero-aware four-transistor SRAM cell for high density and low power cache application. In International conference on advanced computer theory and engineering (pp. 571–575), IEEE.

  12. Lin, S., Kim, Y. B., & Lombardi, F. (2008). A 32nm SRAM design for low power and high stability. In 51st Midwest symposium on circuits and systems (pp. 422–425). IEEE.

  13. Upadhyay P., Mehra R., & Thakur N. (2010). Low power design of an SRAM cell for portable devices. In International conference on computer and communication technology (ICCCT) (pp. 255–259), IEEE.

  14. Birla, S., Shukla, N. K., Pattanaik, M., & Singh, R. K. (2010). Device-and-circuit-design-challenges-for-low-leakage-SRAM for ultra low power applications. Canadian Journal on Electrical & Electronics Engineering, 1(7), 1–12.

    Google Scholar 

  15. Chen, G., Sylvester, D., Blaauw, D., & Mudge, T. (2010). Yield-driven near-threshold SRAM design. IEEE Transaction on VLSI System, 18, 1590–1598.

    Article  Google Scholar 

  16. Karpuzcu U. R., Sinkar A., Kim N. S., & Torrellas J. (2013). Energy smart: Toward energy efficient many cores for near-threshold computing. In Proceedings of IEEE HPCA (pp. 542–553).

  17. Dreslinski R. G., Wieckowski M., Blaauw D., Sylvester D., & Mudge T. (2010). Nearthreshold computing: Reclaiming Moore's law through energy efficient integrated circuits. In Proceedings 98 (pp. 253–266), IEEE.

  18. Calhoun, B. H., & Brooks, D. (2010). Can subthreshold and near-threshold circuits go mainstream? IEEE Micro, 30, 80–85.

    Article  Google Scholar 

  19. Rathod, S. S., Saxena, A. K., & Dasgupta, S. (2010). A proposed DG-FinFET based SRAM cell design with RadHard capabilities. Microelectronics Reliability, 50(8), 1039–1190.

    Article  Google Scholar 

  20. Kumar, T. S., & Tripathi, S. L. (2021). Comprehensive analysis of 7T SRAM cell architectures with 18nm FinFET for low power biomedical applications. SILICON. https://doi.org/10.1007/s12633-021-01290-2

    Article  Google Scholar 

  21. Zhai, B., Hanson, S., Blaauw, D., & Sylveste, D. (2008). A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE Journal of Solid-State Circuits, 43, 2338–2348.

    Article  Google Scholar 

  22. Rahman, N., Dhiman, G., & Singh, B. P. (2013). Static-noise margin analysis during read operation of 6T SRAM cells. International Journal on Recent Trends in Engineering and Technology, 8, 47–51.

    Google Scholar 

  23. Madiwalar, B., & Kariappa, B. S. (2013). Single bit-line 7T SRAM cell for Low Power and high SNM (pp. 223–239). IEEE.

  24. Ansari, M., Kusha, H. A., Ebrahimi, B., Navabi, Z., Kushaa, A. A., & Pedram, M. (2015). A near-threshold 7T SRAM cell with high write and read marginsand low write time for sub-20 nm FinFET technologies. Integration the VLSI Journal, 50, 91–106.

    Article  Google Scholar 

  25. Pahujaa, H., Tyagib, M., Panday, S., & Singha, B. (2017). A novel single-ended 9T FinFET sub-threshold SRAM cell with high operating margins and low write power for low voltage operations. Integration the VLSI Journal, 60, 99–116.

    Article  Google Scholar 

  26. Jethabhai, M., Imachia, L., Thakker, R. A., & Kothari, N. J. (2018). Characterization of a novel 10T SRAM cell with improved data stability and delay performance for 20-nm tri-gated FinFET technology. Circuit World, 44, 187–194.

    Article  Google Scholar 

  27. Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22, 748–754.

    Article  Google Scholar 

  28. Calhoun, B. H., & Chandrakasan, A. (2005). Analyzing static noise margin for sub threshold SRAM in 65nm CMOS. In Solid-state circuits conference IEEE.

  29. Guo, Z., Carlson, A., Pang, L. T., Duong, K. T., Liu, T. J. K., & Nikolic, B. (2009). Large-scale SRAM variability characterization in 45 nm CMOS. IEEE Journal of Solid-State Circuits, 44(11), 3174–3191.

    Article  Google Scholar 

  30. Calhoun, B. H. S., & Chandrakasan, A. P. (2007). A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE Journal of Solid-State Circuits, 42(3), 680–688.

    Article  Google Scholar 

  31. Ensan, S. S., Moaiyeri, M. H., Moghaddam, M., & Hessabi, S. (2019). A low power single-ended SRAM in FinFET technology. AEU-International Journal of Electronics and Communications, 99, 361–368.

    Google Scholar 

  32. Kumar, T. S., & Tripathi, S. L. (2021). Leakage reduction in 18 nm FinFET based 7T SRAM cell using self controllable voltage level technique. Wireless Personal Communications, 116, 1837–1847. https://doi.org/10.1007/s11277-020-07765-6

    Article  Google Scholar 

  33. Sominenia R. P., Madhavib B. K., & Kishore K. L. (2015). Low leakage CNTFET SRAM cells. In International conference on recent trends in computing 2015 (ICRTC-2015). Elsevier.

  34. Mushtaq, U., & Sharma, V. K. (2020). Design and analysis of INDEP FinFET SRAM cell at 7-nm technology. International Journal of Numerical Modelling: Electronic Networks, Devices and Fields. https://doi.org/10.1002/jnm.2730

    Article  Google Scholar 

  35. Verma, S., Tripathi, S. L., & Bassi, M. (2019). Performance analysis of FinFET device using qualitative approach for low-power applications. In 2019 devices for integrated circuit (DevIC) (pp. 84–88). https://doi.org/10.1109/DEVIC.2019.8783754.

Download references

Acknowledgements

We acknowledge for the support and lab facility provided by department of VLSI design, School of Electronics and Electrical Engineering and SERB TARE (TAR/2022/000325).

Funding

There is no funding received for this work.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Suman Lata Tripathi.

Ethics declarations

Conflict of interest

There is no conflict of interest at any stage.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Santosh Kumar, T., Tripathi, S.L. Low Power and Suppressed Noise 6T, 7T SRAM Cell Using 18 nm FinFET. Wireless Pers Commun 130, 103–112 (2023). https://doi.org/10.1007/s11277-023-10277-8

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-023-10277-8

Keywords

Navigation