Skip to main content
Log in

Process evaluation in FinFET based 7T SRAM cell

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

The main aim of device scaling or usage of different technology is to reduce power. The major problem with technology scaling is power dissipation and stability of the device. SRAM is an integral part of any memory, different topologies are proposed in SRAM to address the problem of power dissipation and stability in nanometer technologies. FinFET based devices have proven to be the better alternative for having low power dissipation in any SRAM. In the low dimension devices, the process variations have to be considered in low power applications. In this paper data retention voltage, a parameter used for estimation of cell stability is considered and also the leakage power with a variation of transistor functionality. Cadence Virtuoso tool is used to evaluate process corner analysis with 18 nm FinFET technology. The data retention voltage in fast-slow (FS) corner is low and it is high in fast–fast (FF) corner at low temperatures and when the temperature is high FF corner has a high data retention voltage. Similarly, the leakage power is low at the TT process corner maintaining stability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Data availability

The datasets generated during and/or analysed during the current study are available from the first/corresponding author on reasonable request.

References

  1. Qin, H., Cao, Y., Markovic, D., Vladimirescu, A., & Rabaey, J. (2005). Standby supply voltage minimization for deep sub-micron SRAM. Microelectronics Journal, 36(9), 789–800.

    Article  Google Scholar 

  2. Kou, L., & Robinson, W. H. (2014). Impact of process variations on reliability and performance of 32-nm 6T SRAM at near-threshold voltage. In: Proceedings of IEEE computer society annual symposium on VLSI (pp. 214–219).

  3. Reddy, K. N., & Jayasree, P. V. Y. (2019). Low power process, voltage, and temperature (PVT) variations aware improved tunnel FET on 6T SRAM cells. Sustainable Computing: Informatics and Systems, 21, 143–153.

    Google Scholar 

  4. Raj, B., Saxena, A. K., & Dasgupta, S. (2011). Nanoscale FinFET based SRAM cell design analysis of performance metric, process variation, underlapped FinFET and temperature effect. IEEE Circuit and System Magazine, 11(11), 38–50.

    Article  Google Scholar 

  5. Mushtaq, U., & Sharma, V. K. (2020). Design and analysis of INDEP FinFET SRAM cell at 7-nm technology. International Journal of Numerical Modelling: Electronic Networks Devices and Fields, 33, e2730. https://doi.org/10.1002/jnm.2730

    Article  Google Scholar 

  6. Reddy, A. M., & Harish, B. P. (2020). Process-induced variability modeling of subthreshold leakage power considering device stacking. International Journal of Circuit Theory and Applications, 48(5), 739–749.

    Article  Google Scholar 

  7. Palanisamy, K. S., & Ramachandran, R. (2020). FinFET-based power-efficient, low leakage, and area-efficient DWT lifting architecture using power gating and reversible logic. International Journal of Circuit Theory and Applications, 48, 1304–1318. https://doi.org/10.1002/cta.2794

    Article  Google Scholar 

  8. Gupta, R., & Dasgupta, S. (2017). Process corners analysis of data retention voltage (DRV) for 6T, 8T, and 10T SRAM cells at 45 nm. IETE Journal of Research, 65(1), 114–119.

    Article  Google Scholar 

  9. Sharma, V. K., & Pattanaik, M. (2013). VLSI scaling methods and low power CMOS buffer circuit. Journal of Semiconductors., 34(9), 1–8.

    Article  Google Scholar 

  10. Manju, I., & Kumar, A. S. (2015). A 22 nm FinFET based 6T-SRAM cell design with scaled supply voltage for increased read access time. Analog Integrated Circuits and Signal Processing, 84(1), 119–126.

    Article  Google Scholar 

  11. Kumar, T. S., & Tripathi, S. L. (2019). Implementation of CMOS SRAM Cells in 7, 8, 10 and 12-Transistor Topologies and their Performance Comparison. International Journal of Engineering and Advanced Technology, 8(2S2), 227–229.

    Google Scholar 

  12. Sinangil, M. E., Lin, Y., Liao, H. J., & Chang, J. (2019). A 290-mV, 7-nm ultra-Low-voltage one-port SRAM compiler design using a 12T write contention and read upset free bit-cell. IEEE Journal of Solid-State Circuits, 54, 1152–1160.

    Article  Google Scholar 

  13. Biswas, A., & Chandrakasan, A. P. (2019). CONV-SRAM: an energy-efficient SRAM with in memory dot-product computation for low-power convolutional neural networks. IEEE Journal of Solid-State Circuits, 54(1), 217–230.

    Article  Google Scholar 

  14. Colinge, J. P. (2008). The new generation of SOI MOSFETs. Romanian Journal of Information Science and Technology, 11(1), 3–15.

    Google Scholar 

  15. Gopal, M., Prasad, D. S. S., & Raj, B. (2016). 8T SRAM cell design for dynamic and leakage power reduction. International Journal of Computer Applications, 71(9), 43–48.

    Article  Google Scholar 

  16. Yuan, Z. C., Rizwan, S., & Wong, M. (2016). Switching-speed limitations of ferroelectric negative-capacitance FETs. IEEE Transactions on Electron Devices, 63(10), 4046–4052.

    Article  Google Scholar 

  17. Subramanian, V., Parvais, B., & Borremans, J. (2006). Planar “bulk MOSFETs versus FinFETs: an analog/RF perspective. IEEE Transactions on Electron Devices, 53(12), 3071–3079.

    Article  Google Scholar 

  18. Birla, S. (2019). FinFET SRAM cell with improved stability and power for low power applications. Journal of Integrated Circuits and Systems, 14(2), 1–8.

    Article  Google Scholar 

  19. Doorn, T. S., Maten, E. J. T., Croon, J. A., Di-Bucchianico, A., & Wittich, O. (2008). Importance sampling Monte Carlo simulations for accurate estimation of SRAM yield. In: ESSCIRC European Solid-State Circuits Conference (pp. 230–233).

  20. Patel G. S., & Tripathi S. L. (2019). Performance enhanced unsymmetrical FinFET and its applications. In: IEEE electron devices Kolkata conference (EDKCON) (pp. 222–227).

  21. Kumar, V., Mahor, V., & Pattanaik, M. (2016). Novel ultra low leakage FinFET based SRAM cell. In: IEEE international symposium on nanoelectronic and information systems (pp. 89–92).

  22. Birla, S., Shukla, N. K., Pattanaik, M., & Singh, R. K. (2010). Device-and-circuit-design-challenges-for-low-leakage-SRAM for ultra low power applications. Canadian Journal on Electrical & Electronics Engineering, 1(7), 1–12.

    Google Scholar 

  23. Duari, C., & Birla, S. (2019). Leakage power improvement in SRAM cell with clamping diode using reverse body bias technique. In: Proceedings of the 2nd international conference on data engineering and communication technology. vol. 828 (pp. 279–287). Advances in Intelligent Systems and Computing (2019).

  24. Akashe, S., & Sharma, S. (2013). Leakage current reduction techniques for 7T SRAM cell in 45 nm technology. Wireless Personal Communications, 71, 123–136.

    Article  Google Scholar 

  25. Satish, M. N., & Vasundara Patel, K. S. (2019). Power reduction in FinFET half adder using SVL technique in 32 nm technology. In: 4th MEC international conference on big data and smart city (ICBDSC) (pp. 1–5).

  26. Alioto, M. (2012). Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Transactions on Circuits and Systems I: Regular Papers., 59(1), 3–29.

    Article  MathSciNet  Google Scholar 

  27. Prasad, G., Kumari, N., Mandi, B. C., & Ali, M. (2020). Design and statistical analysis of low power and high speed 10T static random access memory cell. International Journal of Circuit Theory and Applications, 48, 1319–1328. https://doi.org/10.1002/cta.2802

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Suman Lata Tripathi.

Ethics declarations

Conflict of interest

There is no conflict of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kumar, T.S., Tripathi, S.L. Process evaluation in FinFET based 7T SRAM cell. Analog Integr Circ Sig Process 109, 545–551 (2021). https://doi.org/10.1007/s10470-021-01938-4

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-021-01938-4

Keywords

Navigation