Skip to main content
Log in

Modeling leakage power reduction in VLSI as optimization problems

  • Published:
Optimization and Engineering Aims and scope Submit manuscript

Abstract

Reducing power dissipation is one of the most important issues in VLSI design today. Scaling causes subthreshold leakage currents to become a large component of total power dissipation. Multi-Threshold CMOS (MTCMOS) technology has emerged as a promising technique to reduce leakage power. This paper first introduces how to model the sleep transistor sizing problem in the MTCMOS circuits as a Bin-Packing Problem (BPP). The gate-clustering BPP and the First-Fit (FF) techniques are also introduced to further improve the solution quality. To take the circuit’s routing complexity into consideration which is critical for Deep Sub-Micron (technologies that are 0.25 μm and below) (DSM) implementations, a Set-Partitioning Problem (SPP) is then formed. However, this highly constrained model limits it’s application for large circuit design. A Set-Covering (SCP) model is therefore investigated to efficiently solve the problem.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • Anis M, Elmasry M (2003) Multi-threshold CMOS digital circuits—managing leakage power. Kluwer Academic, Dordrecht

    MATH  Google Scholar 

  • Anis M, Areibi S, Mahmoud M, Elmasry M (2002) Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering. In: Proceedings of the 39th design automation conference, New Orleans, LA, pp 480–485

  • Anis M, Areibi S, Elmasry M (2003) Design and optimization of multithreshold CMOS (MTCMOS) circuits. IEEE Trans Comput Des Integr Circuits Syst 22(10):1324–1342

    Article  Google Scholar 

  • Bohr M, Elmansy Y (1998) Technology for advanced high-performance microprocessors. IEEE Trans Electron Devices 45(3):620–625

    Article  Google Scholar 

  • Chandrakasan A, Brodersen R (1995a) Minimizing power consumption in digital CMOS circuits. Proc IEEE 83(4):498–523

    Article  Google Scholar 

  • Chandrakasan A, Brodersen RW (1995b) Low power digital CMOS design. Kluwer Academic, Dordrecht

    Google Scholar 

  • Chandrakasan A, Yang I, Vieri C, Antoniadis D (1996) Design considerations and tools for low-voltage digital system design. In: Proceedings of the 33rd design automation conference, Las Vegas, NV, pp 113–118

  • Chen Z, Wei L, Roy K (1998) Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks. In: Proceedings of the international symposium on low-power electronics and design, Monterey, CA. IEEE/ACM, New York, pp 239–244

    Google Scholar 

  • Hatler J, Najm F (1997) A gate-level leakage power reduction method for ultra low-power CMOS circuits. In: Proceedings of the IEEE custom integrated circuits conference, Santa Clara, CA, pp 475–478

  • ILOG (2004) ILOG CPLEX 8.1 users manual. ILOG, France

  • Kang S, Leblebici Y (2003) CMOS digital integrated circuits. McGraw–Hill, New York

    Google Scholar 

  • Kao J (2001) Subthreshold leakage control techniques for low power digital circuits. PhD thesis, Massachusetts Institute of Technology

  • Kao J, Chandrakasan A, Antoniadis D (1997) Transistor sizing issues and tools for multi-threshold CMOS technology. In: Proceedings of the 34th design automation conference, Las Vegas, NV, pp 409–414

  • Kao J, Narendra S, Chandrakasan A (1998) MTCMOS hierarchical sizing based on mutual exclusive discharge patterns. In: Proceedings of the 35th design automation conference, Las Vegas, NV, pp 495–500

  • Kao J, Narendra S, Chandrakasan A (2002) Subthreshold leakage modeling and reduction techniques. In: Proceedings of the 39th international conference on computer aided design. New Orleans, LA, pp 141–148

  • Krishnamurthy R, Carley L (1997) Exploring the design space of mixed swing quadrail for low-power digital circuits. IEEE Trans VLSI Syst 5(4):388–400

    Article  Google Scholar 

  • Kuroda T (1996) A 0.9 V 150 MHz 10 mW 4 mm2 2-D discrete cosine transform core processor with variable threshold voltage scheme. IEEE J Solid State Circuits 31(11):1770–1779

    Article  Google Scholar 

  • Long C, He L (2003) Distributed sleep transistor network for power reduction. In: Proceedings of the 40th design automation conference, Anaheim, CA, pp 181–186

  • Mutoh S, Douseki T, Matsuya Y, Aoki T, Shigematsu S, Yamada J (1995) 1-V power supply high-speed digital circuit technology with multi-threshold voltage CMOS. IEEE J Solid State Circuits 30(8):847–854

    Article  Google Scholar 

  • Mutoh S, Shigematsu S, Matsuya Y, Fukuda H, Kaneko T, Yamada J (1996) A 1-V multithreshold-voltage CMOS digital signal processor for mobile phone applications. IEEE J Solid State Circuits 31(11):1795–1802

    Article  Google Scholar 

  • Rabaey JM (1996) Digital integrated circuits. Prentice Hall, New York

    Google Scholar 

  • Rardin R (1998) Optimization in operations research. Prentice Hall, Boston

    Google Scholar 

  • Stan M (1998) Low-threshold CMOS circuits with low standby current. In: Proceedings of the international symposium on low-power electronics and design, Monterey, CA. IEEE/ACM, New York, pp 97–99

    Google Scholar 

  • Thompson S, Packan P, Bohr M (1998) MOS scaling: transistor challenges for the 21st century. Intel Technol J Q3:19

    Google Scholar 

  • Tschanz J, Narendra S, Ye Y, Bloechel B, Borkar S, De V (2003) Dynamic sleep transistor and body bias for active leakage power control of microprocessors. IEEE J Solid State Circuits 38(11):1838–1845

    Article  Google Scholar 

  • Wang W, Anis M, Areibi S (2004) Fast techniques for standby leakage reduction in MTCMOS circuits. In: Proceedings of the 16th annual international ASIC/SOC conference, San Jose, CA, pp 21–24

  • Ye Y, Borkar S, De V (1998) A new technique for standby leakage reduction in high-performance circuits. In: Proceedings of the 1998 symposium on VLSI circuits, Honolulu, HI, pp 40–41

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shawki Areibi.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, W., Areibi, S. & Anis, M. Modeling leakage power reduction in VLSI as optimization problems. Optim Eng 8, 129–162 (2007). https://doi.org/10.1007/s11081-007-9004-4

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11081-007-9004-4

Keywords

Navigation