Skip to main content
Log in

Analysis and Evaluations of Reliability of Reconfigurable FPGAs

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Many techniques have been proposed in the technical literature for repairing FPGAs when affected by permanent faults. Almost all of these works exploit the dynamic reconfiguration capabilities of an FPGA where a subset of the available resources is used as spares for replacing the faulty ones. The choice of the best reconfiguration technique depends on both the required reliability and on the architecture of the chosen FPGA . This paper presents a survey of these techniques and explains how the architectural organization of the FPGA affects the choice of a reconfiguration strategy. Subsequently, a framework is proposed for these techniques by which a fair comparison among them can be assessed and evaluated with respect to reliability. A reliability evaluation is provided for different repair strategies. To provide a comparison between these techniques FPGAs of different size are taken into account. Also the relationship between the area overhead and the overall reliability has been investigated. Considerations about time to repair and feasibility of these techniques are provided. The ultimate goal of this paper is therefore to present a state-of-the-art repair techniques as applicable to FPGA and to establish their performance for reliability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Abramovici M, Stroud CE, Emmert JM (2004) Online BIST and BIST-based diagnosis of FPGA logic blocks. IEEE Trans Very Large Scale Integr (VLSI) Syst 12(12):1284–1294, December

    Article  Google Scholar 

  2. Antola A, Piuri V, Sami M On-line Diagnosis and Reconfiguration of FPGA Systems. Proceedings of the First IEEE international workshop on electronic design, test and applications (DELTA.02)

  3. Brown S, Rose J (1996) FPGA and CPLD architectures: a tutorial. IEEE Des Test Comput 13(2):42–57, Summer

    Article  Google Scholar 

  4. Huang W-J, McCluskey EJ (2001) Column-based precompiled configuration techniques for FPGA. Field-programmable custom computing machines, 2001. FCCM ’01. The 9th Annual IEEE Symposium, pp 137–146

  5. Huang WK, Meyer FJ, Chen X-T, Lombardi F (1998) Testing configurable LUT-based FPGA’s. IEEE Trans Very Large Scale Integr (VLSI) Syst 6(2):276–283, June

    Article  Google Scholar 

  6. Lach J, Mangione-Smith WH, Potkonjak M (2000) Enhanced FPGA reliability through efficient run-time fault reconfiguration. IEEE Trans Reliab 49(3):296–304, September

    Article  Google Scholar 

  7. Pontarelli S, Cardarilli GC, Malvoni A, Ottavi M, Re M, Salsano A (2001) System-on-chip oriented fault-tolerant sequential systems implementation methodology. Proceedings in IEEE international symposium on defect and fault tolerance in VLSI systems, pp 455–460, 24–26 October 2001

  8. Rose J, El Gamal A, Sangiovanni-Vincentelli A (1993) Architecture of field-programmable gate arrays. Proceedings of the IEEE 81(7):1013–1029, July

    Article  Google Scholar 

  9. Shnidman NR, Mangione-Smith WH, Potkonjak M (1998) On-line fault detection for bus-based field programmable gate arrays. IEEE Trans Very Large Scale Integr (VLSI) Syst 6(4):656–666, December

    Article  Google Scholar 

  10. Wang S-J, Tsai T-M (1999) Test and diagnosis of faulty logic blocks in FPGAs. IEE Proc Comput Digit Tech 146(2): 100–106, March

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Salvatore Pontarelli.

Additional information

Responsible Editor: N. A. Touba

Rights and permissions

Reprints and permissions

About this article

Cite this article

Pontarelli, S., Ottavi, M., Vankamamidi, V. et al. Analysis and Evaluations of Reliability of Reconfigurable FPGAs. J Electron Test 24, 105–116 (2008). https://doi.org/10.1007/s10836-007-5040-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5040-4

Keywords

Navigation