Skip to main content
Log in

A Methodology for Handling Complex Functional Constraints for Large Industrial Designs

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Functional constraints capture Boolean relationships among signal nets by analyzing the functionality of a circuit. Such constraints find widespread application in VLSI design methodology and can be derived using various techniques. The size and complexity of these constraints becomes a limiting factor in their successful usage for large designs. This paper describes CONAN (Constraint Analyzer), a powerful framework to analyze and simplify such constraints. CONAN is built on the solution to a novel minimization problem. The feasibility and effectiveness of CONAN is demonstrated by using it for functional untestability analysis of large industrial benchmarks. Run-times were reduced from over a week to less than 30 minutes. Additionally, unique functionally untestable faults were derived using this approach when compared with constraints provided by designers.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Abramovici M, Breuer MA, Friedman AD (1995) Digital systems testing and testable design”. John Wiley & Sons

  2. Agrawal VD, Chakradhar ST (Sept. 1995) Combinational ATPG theorems for identifying untestable faults in sequential circuits. IEEE Trans Comput-Aided Des 14(9):1155–1160

    Article  Google Scholar 

  3. Bryant R (1986) Graph-based algorithms for Boolean function manipulation. Proc IEEE Trans Comput 35(8):677–691

    Article  MATH  Google Scholar 

  4. Chen G, Reddy SM, Pomeranz I (2003) Procedures for identifying untestable and redundant transition faults in synchronous sequential circuits. Proceedings of IEEE International Conference on Computer Design, pp. 36–41

  5. Cummins CE (1999) full_case parallel_case. the Evil Twins of Verilog Synthesis. Proc Synopsys Users Group (SNUG)

  6. Davis M, Putnam H (1960) A computing procedure for quantification theory. J Assoc Comput Mach 7:201–215

    MATH  MathSciNet  Google Scholar 

  7. El-Maleh A, Kassab M, Rajski J (1998) A fast sequential learning technique for real circuits with application to enhancing ATPG performance. Proc Design Autom Conference 625–631

  8. Goldberg E, Novikov Y (2002) BerkMin: A fast and robust SAT solver. Proc Design Autom Test Europe 142–149

  9. Goldstein H (Jun. 2002) Checking the play in Plug-and-Play. IEEE Spectrum 50–56

  10. Hachtel GD, Somenzi F (1996) Logic Synthesis and Verification Algorithms. Springer

  11. Heragu K, Patel J, Agrawal VD (1997) Fast identification of untestable delay faults using implications. Proc ACM/IEEE Int Conference Computer-Aided Design 642–647

  12. Hsiao M (2002) Maximizing impossibilities for untestable fault identification. Proc Design Autom Conf 949–953

  13. Iyer MA, Abramovici M (1996) FIRE: A fault independent combinational redundancy identification algorithm. Proc IEEE Trans Very Large Scale Integration Syst 4(2):295–301

    Article  Google Scholar 

  14. Iyer MA, Long DE, Abramovici M (1996) Identifying sequential redundancies without search. Proc Design Autom Conference 457–462

  15. Iyer MK, Parthasarathy G, Cheng K-T (2003) SATORI – A fast sequential sat engine for circuits. IEEE Int Conference Computer-Aided Design 320–325

  16. Jas A, Chang Y, Chakravarty S (2006) An approach to minimizing functional constraints. Proc Int Symposium Defect Fault Tolerance VLSI Syst 215–226

  17. Konijnenburg MH, Van Der Linden JT, Van de Goor AJ (1999) Illegal state space identification for sequential circuit test generation. IEEE Design Test Europe 741–746

  18. Kunz W, Pradhan DK (1992) Recursive learning: An attractive alternative to the decision tree for test generation in digital circuits. Proc Int Test Conference 816–825

  19. Larrabee T (1992) Test pattern generation using Boolean satisfiability. Proc IEEE Trans Computer Aided Design 11(1):6–22

    Google Scholar 

  20. Liang H-C, Lee CL, Chen JE (Sept. 1997) Identifying invalid states for sequential circuit test generation. IEEE Trans Computer-Aided Design Integrated Circuits Syst 16(9):1025–1033

    Article  Google Scholar 

  21. Lin X, Pomeranz I, Reddy SM (1998) On finding undetectable and redundant faults in synchronous sequential circuits. IEEE Int Conference Comput Design 498–503

  22. Lin Y-C, Lu F, Cheng K-T (2005) Pseudo-functional scan-based BIST for delay fault. Proc IEEE VLSI TEST Symposium 229–234

  23. Lin Y-C, Lu F, Yang K, Cheng K-T (2005) Constraint extraction for pseudo-functional scan-based delay testing. Proc Asia South Pacific Design Autom Conference 166–171

  24. Long DE, Iyer MA, Abramovici M (1995) Identifying sequentially untestable faults using illegal states. Proc VLSI Test Symposium 4–11

  25. Marques-Silva JP (2000) Algebraic simplification techniques for propositional satisfiability. Proc Int Conference Principles Practice Constraint Program 537–542

  26. Moskewicz MW, Madigan CF, Zhao Y, Zhang L, Malik S (2001) Chaff: Engineering an efficient SAT solver. Proc Design Autom Conference 530–535

  27. Pomerantz I, Reddy SM (1994) On identifying untestable and redundant faults in synchronous sequential circuits. IEEE VLSI Test Symposium 8–14

  28. Pomeranz I (2004) On the Generation of Scan-Based Test Sets with Reachable States for Testing Under Functional Operations Conditions. ACM/IEEE Design Autom Conference 928–933

  29. Rearick J (2001) Too much delay fault coverage is a bad thing. Proc Int Test Conference 624–633

  30. Schultz MH, Trishchler E, Sarfert TM (1988) SOCRATES: A highly efficient automatic test pattern generation system. IEEE Trans Computer-Aided Design 126–136

  31. Shulz MH, Auth E (1989) ESSENTIAL: An efficient self-learning test pattern generation algorithm for sequential circuits. IEEE Int Test Conference 28–37

  32. Silva JPM, Sakallah KA (1996) GRASP—a new search algorithm for satisfiability. Proc Int Conference Computer-Aided Design

  33. Syal M, Hsiao MS (June 2006) New techniques for untestable fault identification in sequential circuits. Proc IEEE Trans Computer-Aided Design Integrated Circuits Syst 25(6):1117–1131

    Article  Google Scholar 

  34. Vishakantiah P, Abraham JA, Abadir M (1992) Automatic test knowledge extraction from VHDL (ATKET). ACM/IEEE Design Autom Conference 273–278

  35. Vlach F (1993) Simplification in a satisfiability checker for VLSI applications. Proc J Autom Reason 10(1):115–136

    Article  MATH  MathSciNet  Google Scholar 

  36. Zhang Z, Reddy SM, Pomeranz I (2005) On generating Pseudo-functional delay fault tests for scan designs. Proc IEEE Int Symposium Defect Fault Tolerance VLSI Syst 398–405

  37. Zhao ZK, Rudnick EM, Patel JH (1997) Static logic implication with application to redundancy identification. IEEE VLSI Test Symposium 288–293

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yi-Shing Chang.

Additional information

Responsible Editor: N. A. Touba

Rights and permissions

Reprints and permissions

About this article

Cite this article

Jas, A., Chang, YS. & Chakravarty, S. A Methodology for Handling Complex Functional Constraints for Large Industrial Designs. J Electron Test 24, 259–269 (2008). https://doi.org/10.1007/s10836-007-5024-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5024-4

Keywords

Navigation