Skip to main content
Log in

A New Testability Calculation Method to Guide RTL Test Generation

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Current paper presents a unified approach for calculating mixed-level testability measures. In addition, a new method of testability guided RTL Automated Test Pattern Generation (ATPG) for sequential circuits is introduced. The methods and algorithms are based on path tracing procedures on decision diagrams. The previous known methods have been implemented in test synthesis and in guiding gate-level test generation. However, works on application of testability measures to guide high-level test generation are missing. The main aim of this paper is to bridge this gap. Current method is compared to a recent approach known from the test synthesis area. Experiments show that testability measures greatly influence the fault coverage in RT-level test generation with the proposed approach achieving the best results. Similar to earlier works, our research confirms that RT-level fault coverage is in correlation with logic level one.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • R.G. Bennetts, Design of Testable Logic Circuits, London: Addison-Wesley Publishing Company, 1984.

    Google Scholar 

  • M.L. Bushnell and V.D. Agrawal, Essentials of Electronic Testing, Boston Dordrecht London: Kluwer Academic Publishers, 2000.

    Google Scholar 

  • C.-H. Chen, T. Karnik, and D.G. Saab, “Structural and Behavioral Synthesis for Testability Techniques”, IEEE Trans. on CAD, vol. 13 no. 6, pp. 777–785, 1994.

    Google Scholar 

  • F. Corno, P. Prinetto, and M. Sonza Reorda, “BELTÀ: A Tool for Handling Testability at the System Level”, IEEE European Test Workshop, May 1996.

  • M.L. Flottes, R. Pires, and B. Rouzeyre, “Analyzing Testability from Behavioral to RT Level”, in Proc. European Design & Test Conf., 1997, pp. 159–165.

  • F. Corno, P. Prinetto, and M. Sonza Reorda, “Testability Analysis and ATPG on Behavioral RT-Level VHDL”, in Proc. Int. Test Conf, 1997, pp. 753–759.

  • L.H. Goldstein, “SCOAP: Sandia Controllability Observability Analysis Program,” in Proc. of Design Automation Conf., June 1980, pp. 190–196.

  • E. Larsson and Z. Peng, “Testability Analysis of Behavioral-Level VHDL Secifications”, IEEE European Test Workshop, May 1998.

  • T.-C. Lee, N.K. Jha, and W.H. Wolf, “Behavioral Synthesis of Highly Testable Data Paths under the Non-Scan and Partial Scan Environments”, in Proc. Design Automation Conf., 1993, pp. 292–297.

  • J. Lee and J.H. Patel, “Testability Analysis Based on Structural and Behavioral Information,” in Proc. of VLSI Test Symp., 1993, pp. 139–146.

  • J. Lee and J.H. Patel, “Architectural Level Test Generation for Microprocessors”, IEEE Trans. CAD, vol. 13, no. 10, pp. 1288–1300, 1994.

    Google Scholar 

  • H.-T. Liaw and C.-S. Lin, “On the OBDD-Representation of General Boolean Functions”, IEEE Trans. on Computers, vol. C-41, no. 6, pp. 61–664, 1992.

    Google Scholar 

  • W. Mao and R.K. Gulati, “Improving Gate Level Fault Coverage by RTL Fault Grading”, in Proc. Int. Test Conf., 1996, pp. 150–159.

  • B.T. Murray and J.P. Hayes, “Hierarchical Test Generation Using Precomputed Tests for Modules”, in Proc. Int. Test Conf., 1988, pp. 221–229.

  • K.P. Parker and E.J. McCluskey, “Probabilistic Treatment of General Combinational Networks”, IEEE Trans. on Computers, vol. C-24, no. 6, pp. 668–670, 1975.

    Google Scholar 

  • J. Raik and R. Ubar, “Fast Test Pattern Generation for Sequential CircuitsUsing Decision Diagram Representations”, JETTA, Kluwer Academic Publishers. vol. 16, no. 3, pp. 213–226, 2000.

  • I.M. Ratiu, “VICTOR: A Fast VLSI Testability Analysis Program,” in Proc. IEEE International Test Conf., 1982, pp. 397–401.

  • R. Ubar, “Test Generation for Digital Circuits Using Alternative Graphs”, in Proc. of Tallinn Technical University, no. 409, pp. 75–81, 1976.

  • R. Ubar, “Test Synthesis with Alternative Graphs,” IEEE Design & Test of Computers, Spring 1996, pp. 48–57.

  • R. Ubar, Multi-Valued Simulation of Digital Circuits with Structurally Synthesized Binary Decision Diagrams, OPA (Overseas Publishers Assotiation) N.V. Gordon and Breach Publishers, Multiple Valued Logic, vol. 4, pp. 141–157, 1998.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jaan Raik.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Raik, J., Nõmmeots, T. & Ubar, R. A New Testability Calculation Method to Guide RTL Test Generation. J Electron Test 21, 71–82 (2005). https://doi.org/10.1007/s10836-005-5288-5

Download citation

  • Received:

  • Revised:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-005-5288-5

Keywords

Navigation