1 Introduction

Plasma etching is one of the key enabling fabrication techniques that is challenged to produce ever-smaller critical dimensions (CDs) with demanding high aspect ratios (HARs) and high selectivity while etching different materials [1]. Fluorocarbon plasma etching, in particular, is often used to produce deep vertical features within silica films. These features serve as via and contact holes, and their development is essential for new complementary metal-oxide-semiconductor (CMOS) and dynamic random access memory (DRAM) devices [2]. However, the complexity of plasma etching often requires long process development cycles for new technologies, demanding continued modeling progress to enable further process optimizations.

Feature-scale modeling of plasma etching is a powerful tool to investigate surface reactions and the topography dependency of etch rates. In particular, fluorocarbon plasma etching is notably challenging to model due to the simultaneous etching and polymer deposition mechanisms. Nonetheless, the deposition of a protective polymer layer is what allows the fabrication of HAR structures, stressing the importance of accurately modeling the interplay between the etchant and polymer species. Another challenging aspect is visibility effects due to the distribution of incoming reactants, which cause unwanted aspect ratio dependent etching (ARDE) [1]. Therefore, controlling phenomena such as ARDE and improving the selectivity over mask materials in HAR vertical dry etch processes remain an important point of optimization. Surface reactions and topography dependencies are thus a major research focus of modeling [3,4,5,6,7,8,9,10,11]. Additionally, different materials that can be used as thinner and inert masks to improve etch selectivity are being experimentally explored [12].

In this work, we study one such novel material stack with our phenomenological feature-scale modeling methodology by simulating a three-dimensional (3D) \(\mathrm {SiO_{2}}\) via with a \(\textrm{Ru}\) mask etched by \(\mathrm {CF_4/C_4F_8}\) in an inductively coupled plasma (ICP) reactor [12]. We calibrate our models based on experimental data, characterize the etch rate of the materials, reproduce the experimental CDs, and show how our TCAD-compatible methodology can be used to accurately prototype processes with novel materials into 3D structures that can be integrated into TCAD process/device simulations.

2 Methodology

To accurately model etch or deposition processes, it is necessary to describe the movement of surfaces over time. To that end, we use the topography simulator implemented into Silvaco’s Victory Process TCAD tool [13]. The simulator uses the level-set method [14], which describes surfaces as the zero level-set of the signed distance function \(\phi (\vec{x})\). To move a surface characterized by a level-set is called advection, and the evolution of \(\phi (\vec{x})\) over time is given by a Hamilton-Jacobi equation, named the level-set equation [14]

$$\begin{aligned} \frac{\partial \phi (\vec{x}, t)}{\partial t} + R(\vec{x})|\nabla \phi (\vec{x}, t)| = 0 , \end{aligned}$$
(1)

where \(R(\vec{x})\) is the scalar velocity field representing the local etch or deposition rates.

To connect the velocity field \(R(\vec{x})\) to the etching and deposition mechanisms taking place at the wafer surface, we need to represent reactants, model reactant transport, and how they interact with the wafer surface. The complex mixture of reactants generated by the plasma is abstracted into three functional particles: neutrals (n), ions (i), and polymers (p). Neutrals represent the etchants, polymers are the etching inhibitors and depositing species of a polymer layer, and ions are responsible for the sputtering and reactive ion etching (RIE) mechanisms. The particles are generated in a source plane \({\mathcal {P}}\), a regular grid of particle sources located above the wafer surface. The flux at each surface element is then calculated through a bottom-up ray-tracing method. In this bottom-up method, the flux contributions from each particle sources visible to a given surface element are summed according to (2) [15,16,17]. The process involves iterating through all the discretized particle sources on the source plane \(\vec{x}_{\mathcal {P}}\). For each \(\vec{x}_{\mathcal {P}}\), we represent its visibility from the specific surface element \(\vec{x}\) by assigning a 0 or a 1 to the visibility function \(\Upsilon (\vec{x}_{\mathcal {P}},\vec{x})\). The flux contribution for all visible \(\vec{x}_{\mathcal {P}}\) is then summed taking into account their angular source distribution \(\Gamma _\mathrm{{{src}}}\) to give the total flux incident \(J(\vec{x})\) on the surface element \(\vec{x}\):

$$\begin{aligned} J(\vec{x}) = \sum _{\vec{x}_{\mathcal {P}}} \Gamma _\mathrm{{{src}}}(\vec{x}_{\mathcal {P}},\vec{x})\Upsilon (\vec{x}_{\mathcal {P}},\vec{x}) \end{aligned}$$
(2)

The calculated flux for each particle (\(J_{n,i,p}\)) serves as the input to a surface reaction model which computes the etch or deposition rates \(R(\vec{x})\). \(R(\vec{x})\) is used as an input to the level-set engine, which evolves the surfaces accordingly (Fig. 1).

Fig. 1
figure 1

Feature-scale modeling methodology: The ray-tracer evaluates the local fluxes. Langmuir equations use these fluxes to calculate the etch or deposition rates and the level-set engine evolves the surface accordingly

To link incoming fluxes into etch or deposition rates, we use our previously devised surface reaction model [18], developed according to [8, 16]. The reaction model comprises a set of Langmuir adsorption kinetics equations (35) and rate equations (78). The new quantities present in (3-8) are the coverages \(\Theta _{n,p,n/p}\), the sticking coefficients \(S_{n,p,n/p}\), the stoichiometric coefficient \(k_n\), the substrate densities for polymer (\(\rho _p\)) and \(\mathrm {SiO_2}\) (\(\rho _{\mathrm {SiO_2}}\)), the rates \(R_\mathrm{{{dep}}}\) and \(R_\mathrm{{{etch}}}\), the sputtering yield \(Y_s\), and the RIE yields \(Y_{n,n/p}\). \(J_\mathrm{{{ev}}}\), the evaporation flux, and \(k_\mathrm{{{ev}}}\), its stoichiometric constant, are used to model the thermal evaporation etching mechanism according to [8]. The new subscript n/p is used to denote neutrals on a polymer substrate. For example, \(\Theta _{n/p}\) indicates the coverage of the polymer substrate by neutral particles.

$$\begin{aligned} \frac{d\Theta _{n}}{dt}= & {} J_nS_n(1-\Theta _n-\Theta _p)-J_iY_{n}k_n \Theta _n - J_\mathrm{{{ev}}}k_\mathrm{{{ev}}}\Theta _n \end{aligned}$$
(3)
$$\begin{aligned} \frac{d\Theta _{p}}{dt}= & {} J_pS_p-J_iY_{n/p} \Theta _p \Theta _{n/p} \end{aligned}$$
(4)
$$\begin{aligned} \frac{d\Theta _{n/p}}{dt}= & {} J_nS_{n/p}(1-\Theta _{n/p}) - J_iY_{n/p}\Theta _{n/p} \end{aligned}$$
(5)
$$\begin{aligned} \Theta _p= & {} \frac{J_pS_p}{J_iY_{n/p} \Theta _{n/p}} \end{aligned}$$
(6)
$$\begin{aligned} R_\mathrm{{{dep}}}= & {} \frac{J_iY_{n/p}\Theta _{n/p}-J_pS_p}{\rho _p} \end{aligned}$$
(7)
$$\begin{aligned} R_\mathrm{{{etch}}}= & {} \frac{1}{\rho _{\mathrm {SiO_2}}}(J_iY_nk_n\Theta _n +J_iY_s(1-\Theta _n-\Theta _p) \nonumber \\{} & {} +J_\mathrm{{{ev}}}k_\mathrm{{{ev}}}\Theta _n) \end{aligned}$$
(8)

Each term of the equations (35) represents a mechanism of adsorption or etching (RIE, sputtering, evaporation) and our goal is to solve them for the coverage values \(\Theta _{n,p,n/p}\). The coverages are defined as the fraction of substrate surface sites with adsorbed n or p species. We assume that reactant adsorptions follow a simple sticking model, where every incoming reactant has a probability S to adsorb and occupy a surface site. We use a steady-state approximation (\(\frac{d\Theta _{n,p,n/p}}{dt} = 0\)) to solve equations (35) for every advection time step. The steady-state approximation is equivalent to assuming that the surface evolves very slowly compared to the characteristic time of the adsorption and desorption mechanisms [8]. We also assume that every adsorption leads to etching or deposition reactions. Since every adsorption leads to a reaction, we are able to directly equate the incoming fluxes and calculated coverages to the reaction rates through (78). Whether a deposition or etch reaction occurs and depends on the value of \(\Theta _p\) (6). If \(\Theta _p \ge 1\), the surface is completely covered by polymers and a deposition occurs with the rate determined by (7). However, if \(\Theta _p < 1\), the substrate is etched at a rate given by (8).

The sputtering yield values at each surface element \(Y_{n,n/p,s}\) are calculated as a function of the incoming ion energy (E) and the angle of ion incidence with respect to the surface normal (\(\theta\)) [19]. The RIE and sputtering mechanisms share the same energy dependence but have different \(\theta\) dependencies. The RIE yields \(Y_{n,n/p}(E,\theta )\) follow a cosine function (9), while the sputtering yield is given by (10) [8]. The values used for the yield constants A, B, and the remaining parameters from equations (3-10) are listed in Table 1.

$$\begin{aligned} Y_{n,n/p}(E,\theta )= & {} A_{n,n/p}(\sqrt{E}-\sqrt{E_{th}})\cos {\theta } \end{aligned}$$
(9)
$$\begin{aligned} Y_{s}(E,\theta )= & {} A_s(\sqrt{E}-\sqrt{E_{ths}})(1+B\sin ^2{\theta })\cos {\theta } \end{aligned}$$
(10)

3 Results

We use the experimental data from [12] to calibrate and validate our simulation results. The etching of the trenches is simulated in three dimensions with periodic boundary conditions. Because a trench is symmetric with respect to a plane that runs parallel to its middle point, we are able to use a simulation domain that consists only of a half-trench, and all results shown are mirrored to represent the complete trench. Using periodic boundary conditions allow us to obtain an infinitely long rectangular trench, and a 2D cross section from the initial trench setup is shown in Fig. 2a.

The experimental setup of the ICP reactor is specified in Table 2, and the modeling parameters are in Table 1. Unless otherwise indicated, the same parameters are used for all materials [12, 20]. To estimate the neutrals and polymer flux, we adapted values from the steady-state densities of neutral species reported by [21] to the setup shown in Table 2, resulting in a polymer to neutral ratio of \(J_p\)/\(J_n=0.14\). This ratio indicates a strong polymerization regime, where \(\textrm{F}/\textrm{C}<3\), which is expected for anisotropic etching applications [21]. Therefore, the calibrated parameters are \(J_i\) and the angular distributions of the \(J_{n,p,i}\) sources.

Table 1 Modeling parameters
Fig. 2
figure 2

a 2D cross section of the initial feature shape with a 200 nm opening and a \(\textrm{Ru}\) mask height of 100 nm. b 2D cross section after total etch time of \(94\,s\) with the protective polymer layer that is necessary for anisotropic structures

Table 2 ICP etch setup with a \(\mathrm {C_4F_8/CF_4}\) mixture [12]

For the neutral and polymer particles, we use a constant flux value across the surface. That is, we assume that their sticking values (\(S_{n,p,n/p}=0.1\)) are low enough to enable full reactant supply to the involved CD [23]. For the ions, a sharp von Mises source angular distribution with a shape parameter of 250 is used. The resulting \(J_i\) value of \(1.4\times 10^{16}\) \(cm^{-2}s^{-1}\) is found by simultaneously calibrating the etch rate (Fig. 3) and the shape of the final trench to the experimental data.

Fig 3 shows the plane wafer rate as a function of \(J_i\) for a \(\mathrm {SiO_2}\) substrate. Plane wafer rates are experimentally observed in conditions where the wafer surface is fully exposed to an atmosphere which has no shortage of reactants and is, therefore, free of loading or geometrical shading effects [2]. In Fig 3, positive values represent an etch rate given by (8) and negative values a polymer deposition rate given by (7). The transition from a polymer deposition regime to an etch regime was observed for \(J_i=3\times 10^{14}\,cm^{-2}s^{-1}\). It is also interesting to note that the corresponding plane wafer etch rate to the calibrated \(1.4\times 10^{16}\) \(cm^{-2}s^{-1}\) value (\(R_\mathrm{{{etch}}}=332 \text {nm/min}\)) is very close to the reported average etch rate of 324 nm/min [12]. This similarity between a plane wafer etch rate and the average etch rate observed for the entirety of the experiment indicates that, according to our model, very little ARDE or loading effects should be expected for this experimental setup.

Fig. 3
figure 3

Plane wafer rate of \(\mathrm {SiO_2}\) as a function of \(J_i\) given by our surface reaction model (78). Negative rate values represent polymer deposition, and positive rate values represent surface etching

The simulation covers the total reported etch time of \(94\,s\), and we compare the resulting profile with the experimental results in Fig. 4. The etch rate for \(\mathrm {SiO_2}\) (\(332\,\text {nm/min}\)) and the selectivity of \(\mathrm {SiO_2}/\textrm{Ru}\) (78) are within \(3\,\%\) of the reported values of \(324\,\text {nm/min}\) and 72.5, respectively [12]. The simulated trench CDs are also in excellent agreement: The depth (\(520\,nm\)), width at the bottom (\(116\,nm\)), and width at the half-height point (\(168\,nm\)) are all within \(5\,\%\) of the experimental results [12]. The simulation result deviates from the experimental profile at the via bottom, where microtrenching effects are observed experimentally. This deviation is expected because microtrenching is a result of ion reflections off the sidewalls [2], which are not taken into account by our bottom-up flux model. The concave shape of the sidewall from the micrography in Fig. 4 is also not entirely captured by our model, because of the simplified, yet efficient, approach we took for reactant flux evaluations. Other phenomena that are not taken into account are the redeposition of etch byproducts and polymer/oxide surface charging, the latter is particularly relevant for applications where accurate modeling of surface roughness is of interest [24, 25]. Further improvements could also be made by coupling our feature-scale model with reactor-scale simulations for an improved method to estimate the parameters in Table 1. Regardless of these limitations, our phenomenological approach to modeling is able to accurately reproduce experimental CDs and thus, presents itself as a useful tool for the fast estimation of the resultant topographies from plasma etching experiments.

Fig. 4
figure 4

Comparison between the simulated and the experimental trench after the \(94\,s\) etch procedure and polymer removal. We are able to accurately reproduce CDs within \(5\,\%\) of the experimental results. Micrography reprinted with permission from [12]. Copyright 2021, American Vacuum Society

Figure 5 shows the 3D half-trench mirrored several times to build an array of trenches. The expected symmetry with regard to the trench length is evident, showing that our flux and topography models can be reliably used in 3D structures. We can thus show the capability of our methodology to reproduce large 3D structures based on physical simulations which can serve as an input for extensive TCAD process/device simulation workflows.

Fig. 5
figure 5

3D array of trenches built by mirroring the final profile and by stripping the polymer layer highlights that our methodology can be used to generate full 3D structures based on physical simulations for subsequent TCAD process/device simulations

4 Conclusion

We present a 3D, TCAD-compatible, phenomenological, feature-scale plasma etching modeling methodology and apply it to a recently developed, highly selective, etch experiment [12]. We show the methodology flexibility by successfully including the novel \(\textrm{Ru}\) hardmask into the ICP etch of \(\mathrm {SiO_2}\) by \(\mathrm {CF_4/C_4F_8}\). Comparing our results to experimental data, we show that the etch rates and CDs are accurately reproduced. The developed methodology can be used to generate realistic topographies for 3D process-aware TCAD device simulation workflows.