Skip to main content
Log in

Polishing mechanisms of various surfactants in chemical mechanical polishing relevant to cobalt interconnects

  • ORIGINAL ARTICLE
  • Published:
The International Journal of Advanced Manufacturing Technology Aims and scope Submit manuscript

Abstract

Cobalt (Co), with its low resistivity, superior adhesion property, and void-free seamless fill ability, is being considered by the industry as a promising candidate to replace the conventional tungsten contact plugs for middle-of-the-line and the wiring metal copper interconnects for back-end-of-the-line. Chemical mechanical polishing (CMP), whose demand is greatly increasing as device dimensions shrink to 7-nm node, has been recognized as one of the indispensable manufacturing approaches for the fabrication of multilevel metal interconnection structures. In addition to meeting the appropriate removal rates and removal selection of heterogeneous materials, excellent wafer surface quality and minimized residual particles, also have become the criteria in the developing process of CMP slurries for Co interconnects. This work investigates the optimization process of the final CMP step for Co interconnects by introducing surfactants in hydrogen peroxide based slurries. Various types of surfactants having different functional groups were employed and compared thoroughly by material removal rates (MRRs) and selectivity. The chemical and mechanical mechanisms of various surfactants during CMP process have been clearly revealed by various approaches, including polishing and static etching experiments, electrochemical analysis, tests of absolute zeta potentials, and particle sizes, as well as wafer surface energy measurements. Research results show that Co MRRs are directly correlated with functional groups of various surfactants. Moreover, absolute zeta potentials of abrasive particles can be deeply influenced by different types of surfactants, where the correlation between absolute zeta potentials and Co MRRs presents strong negative. On the other hand, direct positive correlation between oxide MRRs and particle sizes affected by corresponding surfactants can be summarized. Meanwhile, no obvious corresponding relationship between contact angles and MRRs was found, indicating MRRs were barely affected by wettability of wafer surfaces. At last, the selected surfactants were applied to 12-inch wafers for verification, including MRRs, removal selectivity, defects and surface roughness. Each of these surfactants could make Co and TEOS surface Ra maintain at around below 0.7 nm and 0.3 nm, with a number of residual particles lower than 600 particle/wafer.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

Data availability

The datasets generated and/or analyzed during the current study are available from the first author on reasonable request.

Code availability

Not applicable.

References

  1. Ireland PJ (1997) High aspect ratio contacts: a review of the current tungsten plug process. Thin Solid Films 304(1–2):1–12

    Article  Google Scholar 

  2. Bakke J, Lei Y, Xu Y, Daito K, Breil N (2016) Fluorine-free tungsten films as low resistance liners for tungsten fill applications[C]. 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC). IEEE, pp 108–110

  3. Feng DH, Wang RB, Xu AX, Xu F, Wang WL, Liu WL (2021) Mechanism of titanium-nitride chemical mechanical polishing. Chinese Physics B 30(2)

  4. Kamineni V, Raymond M, Siddiqui S, Mont F, L’Herron B (2016) Tungsten and cobalt metallization: a material study for MOL local interconnects[C]. 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC). IEEE, pp 105–107

  5. Fan SSC, Chen JHC, Kamineni VK, Zhang X, & Labelle C (2017) Middle of line RC performance study at the 7 nm node[C]. 2017 IEEE International Interconnect Technology Conference (IITC). IEEE, pp 1–3

  6. Chandrashekar A, Chen F, Lin J et al (2010) Tungsten contact and line resistance reduction with advanced pulsed nucleation layer and low resistivity tungsten treatment. Jpn J Appl Phys 49(9R):096501

    Article  Google Scholar 

  7. Kajikawa Y, Tsumura T, Noda S et al (2004) Nucleation of W during chemical vapor deposition from WF6 and SiH4. Jpn J Appl Phys 43(6S):3945

  8. Koike J, Hosseini M, Ando D, Sutou Y (2018) New contact metallization scheme for FinFET and beyond[C]. 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM). IEEE, pp 169–171

  9. Hosseini M, Koike J, Sutou Y, Zhao L, Arghavani R (2016) Amorphous Co-Ti alloy as a single layer barrier for Co local interconnect structure[C]. 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC). IEEE, pp 162–164

  10. Hung R, Park J H, Ha T H, Lee M, Wachs A (2018) Extreme contact scaling with advanced metallization of cobalt[C]. 2018 IEEE International Interconnect Technology Conference (IITC). IEEE, pp 30–32

  11. Wu C, Han JH, Shi X, Koli DR, Penigalapati D (2017) Cobalt CMP development for 7nm logic device. ECS Transactions 77(5):93–97

    Article  Google Scholar 

  12. Ji J, Pan GF, Zhang WQ et al (2017) Role of additive in alkaline slurries for Co CMP. Ecs J Solid State Sci Technol 6(12):P813–P818

    Article  Google Scholar 

  13. Seo J, Vegi S, Ranaweera CK et al (2018) Formation of cobalt-BTA complexes and their removal from various surfaces relevant to cobalt interconnect applications. Ecs J Solid State Sci Technol 8(5):P3009–P3017

    Article  Google Scholar 

  14. Qin K, Chang P, Huang Y (2022) An investigation on the interface corrosion behaviors of cobalt interconnects in chemical mechanical polishing slurry. J Electrochem 28(6)

  15. Wang LL, Mishra A, Cruz B, Wen R (2014) Cobalt polishing slurries for 10 nm and beyond. Paper presented at: International Conference on Planarization CMP Technology (ICPT)

  16. Jiang Q, Zhu YF, Zhao M (2007) Recent patents on Cu/low-k dielectrics interconnects in integrated circuits. Recent Pat Nanotechnol 1(3):193–209

    Article  Google Scholar 

  17. Fu L, Liu YL, Wang CW, Han L (2018) Effect of 1,2,4-triazole on galvanic corrosion between cobalt and copper in CMP based alkaline slurry. J Semicond 39(4)

  18. Li X, Pan G, Wang C et al (2018) Reduction of galvanic corrosion between cobalt and copper in CMP by chelation and oxidation. Chin J Rare Met 42(3):278–284

    Google Scholar 

  19. Roberts JM, Kaushik AP, Clarke JS (2015) Resistivity of sub-30 nm copper lines[C]. 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM). IEEE, pp 341–344

  20. Zhang W, Liu Y, Wang C et al (2018) Removal rate control for copper and cobalt CMP free of oxidizing agent. Micronanoelectronic Technol 55(1):57–62

    Google Scholar 

  21. Mont FW, Zhang X, Wang W, Kelly JJ, Ryan ET (2017) Cobalt interconnect on same copper barrier process integration at the 7nm node[C]. 2017 IEEE International Interconnect Technology Conference (IITC). IEEE, pp 1–3

  22. Josell D, Brongersma SH, Tőkei Z (2009) Size-dependent resistivity in nanoscale interconnects. Annu Rev Mater Res 39:231–254

    Article  Google Scholar 

  23. Kelly J, Chen JHC, Huang H, Hu CK, Siddiqui S (2016) Experimental study of nanoscale Co damascene BEOL interconnect structures[C]. 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC). IEEE,  pp 40–42

  24. Bekiaris N, Wu Z, Ren H, Naik M, Tang J (2017) Cobalt fill for advanced interconnects[C]. 2017 IEEE International Interconnect Technology Conference (IITC). IEEE, pp 1–3

  25. Wrschka P, Hernandez J, Oehrlein GS et al (2000) Chemical mechanical planarization of copper damascene structures. J Electrochem Soc 147(2):706

    Article  Google Scholar 

  26. Liang TW, Wang SL, Wang CW, Liu F (2019) Potassium tartrate as a complexing agent for cobalt “buff step” CMP in alkaline slurry. China Semiconductor Technology International Conference (CSTIC)

  27. Kim IK, Kim KH, Park KJ, Bae KH, Lim JH (2019) Chemical mechanical polishing machine and polishing head assembly, US10195715B2

  28. Liu PT, Chang TC, Huang MC et al (2000) Improvement of post-chemical mechanical planarization characteristics on organic low k methylsilsesquioxane as intermetal dielectric. J Electrochem Soc 147(11):4313

    Article  Google Scholar 

  29. Wang X, Song Y, Li C, Zhang Y, Ali HM, Sharma S (2023) Nanofluids application in machining: a comprehensive review. Int J Adv Manuf Technol:1–52

  30. Wang Y, Li C, Zhang Y et al (2017) Comparative evaluation of the lubricating properties of vegetable-oil-based nanofluids between frictional test and grinding experiment. J Manuf Process 26:94–104

    Article  Google Scholar 

  31. Teng GA, Cl A, Yz A et al (2019) Dispersing mechanism and tribological performance of vegetable oil-based CNT nanofluids with different surfactants. Tribol Int 131:51–63

    Article  Google Scholar 

  32. Lu HS, Wang JX, Zeng X et al (2012) The effect of H2O2 and 2-MT on the chemical mechanical polishing of cobalt adhesion layer in acid slurry. Electrochem Solid State Lett 15(4):H97–H100

    Article  Google Scholar 

  33. Sun XQ, Zhang SH, Liu MR, Tan BM, He YG, Yin D, Gao PC, Wang YZ (2021) Experimental validation and molecular dynamics simulation of removal of PO residue on Co surface by alkaline cleaning solution with different functional groups. Colloids Surf A Physicochem Eng Asp 610(1)

  34. Zuo JS, Wang F, Hu K, Wang L, Zhang K (2020) Effect of complexing agent in slurry on CMP property for barrier material cobalt. Paper presented at: China Semiconductor Technology International Conference (CSTIC)

  35. Ma BA, Zhang SH, Tan BM, Li W, Wang YZ, & Sun XQ (2022) Nicotinic acid as a novel inhibitor for alkaline cobalt CMP: experiment and molecular simulation. Colloids Surf a-Physicochemical Eng Aspects 652

  36. Jiang L, He YY, Li Y et al (2014) Synergetic effect of H2O2 and glycine on cobalt CMP in weakly alkaline slurry. Microelectron Eng 122:82–86

    Article  Google Scholar 

  37. Park J-W, Jaffe PR (1994) Development of soil-slurry washing technology using adsorbed surfactant aggregates. Abstr Pap Am Chem Soc 207(1–2):262

    Google Scholar 

  38. Pan H, Wang S, Zhang L et al (2016) Effects of the H2O2-based alkaline barrier slurry on Co CMP. Semicond Technol 41(1):42–45

    Google Scholar 

  39. Cheng YS, Wang CW, Wang SL, Zeng N, Lei S (2022) Comparison of anionic surfactants dodecylbenzene sulfonic acid and 1,2,4-triazole for inhibition of Co corrosion and study of the mechanism for passivation of the Co surface by dodecylbenzene sulfonic acid. J Mol Liq 353

  40. Sagi KV, Teugels LG, Van Der Veen MH et al (2017) Chemical mechanical polishing of chemical vapor deposited Co films with minimal corrosion in the Cu/Co/Mn/SiCOH patterned structures. ECS J Solid State Sci Technol 6(5):P276

    Article  Google Scholar 

  41. Zhang L, Wang T, Lu X (2020) Potassium persulfate as an oxidizer in chemical mechanical polishing slurries relevant for copper interconnects with cobalt barrier layers. J Mater Sci 55(21):8992–9002

    Article  Google Scholar 

  42. Steven K, Andrew W, Carter PW, Kristin H, Benjamin P (2016) Cobalt polishing accelerators, US2016115353A1

  43. Popuri R, Sagi KV, Alety SR et al (2017) Citric acid as a complexing agent in chemical mechanical polishing slurries for cobalt films for interconnect applications. ECS J Solid State Sci Technol 6(9):P594

    Article  Google Scholar 

  44. Lu HS, Wang JX, Qu XP (2013) Slurry for chemical mechanical polishing of cobalt, US2013140273A1

  45. Ranaweera CK, Baradanahalli NK, Popuri R et al (2018) Ammonium persulfate and potassium oleate containing silica dispersions for chemical mechanical polishing for cobalt interconnect applications. ECS J Solid State Sci Technol 8(5):P3001

    Article  Google Scholar 

  46. Popuri R, Amanapu H, Ranaweera CK et al (2017) Potassium oleate as a dissolution and corrosion inhibitor during chemical mechanical planarization of chemical vapor deposited Co films for interconnect applications. ECS J Solid State Sci Technol 6(12):P845

    Article  Google Scholar 

Download references

Funding

The research was supported by Tsinghua University Initiative Scientific Research Program.

Author information

Authors and Affiliations

Authors

Contributions

Lifei Zhang contributed to the study conception, design, material preparation, data collection, and data analysis. The first draft of the manuscript was written by Lifei Zhang, and all authors commented on previous versions of the manuscript. All authors read and approved the final manuscript.

Corresponding author

Correspondence to Xinchun Lu.

Ethics declarations

Ethics approval

Not applicable.

Consent to participate

Written informed consent for publication was obtained from all participants.

Conflict of interest

The authors declare no competing interests.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, L., Wang, S., Wang, T. et al. Polishing mechanisms of various surfactants in chemical mechanical polishing relevant to cobalt interconnects. Int J Adv Manuf Technol 128, 5425–5436 (2023). https://doi.org/10.1007/s00170-023-12246-8

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00170-023-12246-8

Keywords

Navigation