Skip to main content

Advertisement

Log in

Recent developments and applications of chemical mechanical polishing

  • ORIGINAL ARTICLE
  • Published:
The International Journal of Advanced Manufacturing Technology Aims and scope Submit manuscript

Abstract

This article discusses advanced developments and applications of chemical mechanical polishing (CMP) published recently in the selected papers indexed by Web of Science. The topics covered are advances in slurry and abrasives, pads and conditioning, CMP for semiconductor device manufacturing, CMP for other applications, modeling and simulations, and CMP with ultrasonic vibrations, lasers, photocatalysts, or UV lamps. Nonspherical abrasive particles have been developed for CMP, resulting in increased material removal rates (MRRs). Advanced conditioning methods have been proposed to uniformly generate pad surface shapes. Fixed abrasive CMP has advantages with higher MRRs. New models for designing the pad and conditioner have been proposed, and more uniform pad shapes can be obtained. Integrated advanced process control improves the wafer-to-wafer variation. Dental implants treated by CMP perform equally or better than the baseline-machined implants and the biphasic calcium phosphate-treated implants. The slurry distribution and the abrasive behavior can be simulated by means of multiphase modeling. Molecular dynamics simulations can explore the mechanism of CMP. CMP of wafers can be simulated using an atomic force microscope with its tapping mode. Theoretical models have been developed to calculate removal depths, study the chemical action in CMP, and explore the crystal orientation effects. CMP assisted by ultrasonic vibrations increases CMP MRRs and lowers the roughness of polished surfaces. CMP assisted by using UV lamp power, femtosecond lasers, or photocatalysts enhances CMP MRRs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Dong Y, Lei H, Liu WQ, Wang TX, Xu L (2018) Preparation of non-spherical silica composite abrasives by lanthanum ion-induced effect and its chemical-mechanical polishing properties on sapphire substrates. J Mater Sci 53(15):10732–10742

    Google Scholar 

  2. Lei H, Tong KY (2016) Preparation of La-doped colloidal SiO2 composite abrasives and their chemical mechanical polishing behavior on sapphire substrates. Precision Eng J Int Soc Precision Eng Nanotechnol 44:124–130

    Google Scholar 

  3. Zhang BC, Lei H, Chen Y (2017) Preparation of Ag2O modified silica abrasives and their chemical mechanical polishing performances on sapphire. Friction 5(4):429–436

    Google Scholar 

  4. Zhong ZW (2008) Recent advances in polishing of advanced materials. Mater Manuf Process 23(5):449–456

    Google Scholar 

  5. Shi XL, Xu L, Zhou Y, Zou CL, Wang RR, Pan GS (2018) An in situ study of chemical-mechanical polishing behaviours on sapphire (0001) via simulating the chemical product-removal process by AFM-tapping mode in both liquid and air environments. Nanoscale 10(42):19692–19700

    Google Scholar 

  6. Yin D, Niu XH, Zhang K, Wang JC, Cui YQ (2018) Preparation of MgO doped colloidal SiO2 abrasive and their chemical mechanical polishing performance on c-, r- and a-plane sapphire substrate. Ceram Int 44(12):14631–14637

    Google Scholar 

  7. Ke Z, Qing H, Liang L, Yi R (2017) Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted. Ultrasonics 80:9–14

    Google Scholar 

  8. Chen H, Guo D, Xie GX, Pan GS (2016) Mechanical model of nanoparticles for material removal in chemical mechanical polishing process. Friction 4(2):153–164

    Google Scholar 

  9. Nguyen NY, Tian Y, Zhong ZW (2014) Modeling and simulation for the distribution of slurry particles in chemical mechanical polishing. Int J Adv Manuf Technol 75(1-4):97–106

    Google Scholar 

  10. Liu TT, Lei H (2017) Nd3+-doped colloidal SiO2 composite abrasives: synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers. Appl Surf Sci 413:16–26

    Google Scholar 

  11. Nguyen NY, Zhong ZW, Tian Y (2015) An analytical investigation of pad wear caused by the conditioner in fixed abrasive chemical-mechanical polishing. Int J Adv Manuf Technol 77(5-8):897–905

    Google Scholar 

  12. Liu DF, Yan RM, Chen T (2017) Material removal model of ultrasonic elliptical vibration-assisted chemical mechanical polishing for hard and brittle materials. Int J Adv Manuf Technol 92(1-4):81–99

    Google Scholar 

  13. Zhong B, Chen X-h, Wen Z-j (2019) Relationship between Slurry Flow Pattern and Removal Characteristic based on a Novel Polishing Tool, 9th International Symposium on Advanced Optical Manufacturing and Testing Technologies: Subdiffraction-Limited Plasmonic Lithography and Innovative Manufacturing Technology, Chengdu, China

  14. Chen Y, Zuo CZ, Chen AL (2018) Core/shell structured sSiO(2)/mSiO(2) composite particles: The effect of the core size on oxide chemical mechanical polishing. Adv Powder Technol 29(1):18–26

    MathSciNet  Google Scholar 

  15. Chen Y, Zuo CZ, Li ZF, Chen AL (2018) Design of ceria grafted mesoporous silica composite particles for high-efficiency and damage-free oxide chemical mechanical polishing. J Alloys Compd 736:276–288

    Google Scholar 

  16. Tian Y, Zhong Z, Ng JH (2013) Effects of chemical slurries on fixed abrasive chemical-mechanical polishing of optical silicon substrates. Int J Precis Eng Manuf 14(8):1447–1454

    Google Scholar 

  17. Tian YB, Zhong ZW, Lai ST, Ang YJ (2013) Development of fixed abrasive chemical mechanical polishing process for glass disk substrates. Int J Adv Manuf Technol 68(5-8):993–1000

    Google Scholar 

  18. Liang CL, Liu WL, Zheng YH, Ji XL, Li SS, Yin WJ, Guo XH, Song ZT (2016) Fractal nature of non-spherical silica particles via facile synthesis for the abrasive particles in chemical mechanical polishing. Colloids Surf-Physicochem Eng Aspects 500:146–153

    Google Scholar 

  19. Liang CL, Liu WL, Li SS, Kong H, Zhang ZF, Song ZT (2016) A nano-scale mirror-like surface of Ti-6Al-4V attained by chemical mechanical polishing. Chinese Physics B 25(5):058301

    Google Scholar 

  20. Sanusi N, Yusoff MHM, Seng OB, Marzuki MS, Abdullah AZ (2018) Ultrafiltration based on various polymeric membranes for recovery of spent tungsten slurry for reuse in chemical mechanical polishing process. J Membr Sci 548:232–238

    Google Scholar 

  21. Khanna AJ, Gupta S, Kumar P, Chang FC, Singh RK (2018) Study of agglomeration behavior of chemical mechanical polishing slurry under controlled shear environments. Ecs J Solid State Sci Technol 7(5):P238–P242

    Google Scholar 

  22. Zhou C, Zhu DC (2018) Preparation and chemical mechanical polishing performance of CeO2/CeF3 composite powders. Micro Nano Lett 13(1):117–121

    Google Scholar 

  23. Wang WL, Liu WL, Bai LS, Song ZT, Huo JC (2017) Surface modified alumina particles and their chemical mechanical polishing (CMP) behavior on C-plane (0001) sapphire substrate. J Inorg Mater 32(10):1109–1114

    Google Scholar 

  24. Huang CF, Huang AC, Chu FJ, Lin CL, Wan TJ (2017) Using experimental design for the screening and optimization of key factors on silica particles adsorption using magnetic nanoparticles - a case study of chemical mechanical polishing wastewater treatment. Water Pract Technol 12(3):647–659

    Google Scholar 

  25. Bun-Athuek N, Yoshimoto Y, Sakai K, Khajornrungruang P, Suzuki K (2017) Study on effect of the surface variation of colloidal silica abrasive during chemical mechanical polishing of sapphire. Japanese Journal of Applied Physics 56(7):07kb01

  26. Bun-Athuek N, Takazaki H, Yoshimoto Y, Khajornrungruang P, Yasunaga T, Suzuki K (2018) Effects of mixed ultrafine colloidal silica particles on chemical mechanical polishing of sapphire. Japanese Journal of Applied Physics 57(7):Article Number 07md03

  27. Dong H, Wang LL, Gao W, Li XY, Wang C, Ji F, Pan JL, Wang BR (2017) KDP Aqueous solution-in-oil microemulsion for ultra-precision chemical-mechanical polishing of KDP crystal. Materials 10(3):271

    Google Scholar 

  28. Zhou Y, Pan GS, Gong H, Shi XL, Zou CL (2017) Characterization of sapphire chemical mechanical polishing performances using silica with different sizes and their removal mechanisms. Colloids Surf-Physicochem Eng Aspects 513:153–159

    Google Scholar 

  29. Zhang ZF, Zhang WJ, Zhang SD, Yan WX (2017) Study on chemical mechanical polishing performances of sapphire wafer (0001) using silica-based slurry. Ecs J Solid State Sci Technol 6(10):P723–P727

    Google Scholar 

  30. Qu XP, Yang G, He P, Feng H (2017) Chemical mechanical polishing of Mo using H2O2 as oxidizer in colloidal silica based slurries. ECS J Solid State Sci Technol 6(7):470–476

    Google Scholar 

  31. Mandal S, Thomas ELH, Gines L, Morgan D, Green J, Brousseau EB, Williams OA (2018) Redox agent enhanced chemical mechanical polishing of thin film diamond. Carbon 130:25–30

    Google Scholar 

  32. Lei H, Tong KY, Wang ZY (2016) Preparation of Ce-doped colloidal SiO2 composite abrasives and their chemical mechanical polishing behavior on sapphire substrates. Mater Chem Phys 172:26–31

    Google Scholar 

  33. Lei H, Huang LQ, Gu Q (2017) Synthesis of Zn-doped colloidal SiO2 abrasives and their applications in sapphire chemical mechanical polishing slurry. J Mater Sci-Mater Electron 28(2):1229–1237

    Google Scholar 

  34. Sayuti M, Sarhan AAD, Fadzil M, Hamdi M (2012) Enhancement and verification of a machined surface quality for glass milling operation using CBN grinding tool-Taguchi approach. Int J Adv Manuf 60(9-12):939–950

    Google Scholar 

  35. Tlhabadira I, Daniyan IA, Machaka R, Machio C, Masu L, VanStaden LR (2019) Modelling and optimization of surface roughness during AISI P20 milling process using Taguchi method. Int J Adv Manuf 102(9-12):3707–3718

    Google Scholar 

  36. Zhao C, Li J, Yi D, Li B, Cao J (2020) Wafer flatness modeling in chemical mechanical polishing. J Electron Mater 49(1):353–363

    Google Scholar 

  37. Ghosh G, Mandal P, Mondal SC (2019) Modeling and optimization of surface roughness in keyway milling using ANN, genetic algorithm, and particle swarm optimization. Int J Adv Manuf 100(5-8):1223–1242

    Google Scholar 

  38. Chen GP, Luo GH, Pan GS, Liu YH, Luo HM (2016) Influence of colloidal silica dispersion on the decrease of roughness in silicon chemical mechanical polishing. Micro Nano Lett 11(7):382–385

    Google Scholar 

  39. Chen AL, Zhang ZF, Li XZ, Chen Y (2016) Evaluation of oxide chemical mechanical polishing performance of polystyrene coated ceria hybrid abrasives. J Mater Sci-Mater Electron 27(3):2919–2925

    Google Scholar 

  40. Zhang M (2017) Quasi-monodisperse beta-SiC nanospheres: synthesis and application in chemical-mechanical polishing. J Phys Chem Solids 103:1–5

    Google Scholar 

  41. Zhang ZY, Wang B, Zhou P, Guo DM, Kang RK, Zhang B (2016) A novel approach of chemical mechanical polishing using environment-friendly slurry for mercury cadmium telluride semiconductors. Sci Rep 6:22466

    Google Scholar 

  42. Zhang ZY, Shi ZF, Du YF, Yu ZJ, Guo LC, Guo DM (2018) A novel approach of chemical mechanical polishing for a titanium alloy using an environment-friendly slurry. Appl Surf Sci 427:409–415

    Google Scholar 

  43. Zhang ZY, Wang B, Zhou P, Kang RK, Zhang B, Guo DM (2016) A novel approach of chemical mechanical polishing for cadmium zinc telluride wafers. Sci Rep 6:26891

    Google Scholar 

  44. Zhang ZY, Cui JF, Zhang JB, Liu DD, Yu ZJ, Guo DM (2019) Environment friendly chemical mechanical polishing of copper. Appl Surf Sci 467:5–11

    Google Scholar 

  45. Liu P, Wang YG, Zhao YW, Bian D, Zhu YG, Niu SW (2018) In situ electrochemical behavior of aluminum chemical mechanical polishing at low down pressure in environmentally friendly and weakly alkaline slurry. Ecs J Solid State Sci Technol 7(11):P698–P705

    Google Scholar 

  46. Zhou Y, Luo HM, Pan GS, Zou CL, Luo GH, Chen GP, Kang CX (2018) Study on pad performance deterioration in chemical mechanical polishing (CMP) of fused silica. Ecs J Solid State Sci Technol 7(6):P295–P298

    Google Scholar 

  47. Shin C, Chung H, Kim E, Hong S, Kwak D, Jin YH, Kulkarni A, Kim T (2018) Monitoring the physicochemical degradation of polishing pad soaked in hydrogen peroxide during chemical mechanical polishing. Ecs J Solid State Sci Technol 7(2):P77–P81

    Google Scholar 

  48. Kim H, Hong S, Shin C, Jin Y, Lim DH, Kim JY, Hwang H, Kim T (2017) Investigation of the pad-conditioning performance deterioration in the chemical mechanical polishing process. Wear 392:93–98

    Google Scholar 

  49. Shin C, Kulkarni A, Kim K, Kim H, Jeon S, Kim E, Jin YH, Kim T (2018) Diamond structure-dependent pad and wafer polishing performance during chemical mechanical polishing. Int J Adv Manuf Technol 97(1-4):563–571

    Google Scholar 

  50. Ban XX, Zhao HY, Zhao SJ, Xie RQ, Gu YW, Zhu XL, Liao DF, Li L, Jiang ZD (2019) Effect of geometry error on accuracy of large-diameter pads used for CMP dressing. Int J Adv Manuf 100(5-8):1505–1520

    Google Scholar 

  51. Ban XX, Zhao HY, Zhu XL, Zhao SJ, Xie RQ, Liao DF (2018) Improvement and application of pad conditioning accuracy in chemical mechanical polishing. Optical Engineering 57(9):Article Number 095102

  52. Lin ZC, Wang RY, Jhang ZW (2018) Establishing a theoretical model for abrasive removal depth of silicon wafer chemical mechanical polishing by integrating a polishing times analytical model and specific down force energy theory. Int J Adv Manuf Technol 95(9-12):4671–4683

    Google Scholar 

  53. Nguyen NY, Tian YB, Zhong ZW (2015) Improvement of the pad wear shape in fixed abrasive chemical-mechanical polishing for manufacturing optical components, International Conference on Optical and Photonic Engineering, Singapore, Proceedings of SPIE Volume: 9524, Article Number: UNSP 95240F

  54. Nguyen NY, Zhong ZW, Tian YB (2016) Analysis and improvement of the pad wear profile in fixed abrasive polishing. Int J Adv Manuf Technol 85(5-8):1159–1165

    Google Scholar 

  55. Wang J, Wu K, Maezaki T, Zhou L, Onuki T, Shimizu J, Zhu Y (2020) Development of binder-free CMG abrasive pellet and finishing performance on mono-crystal sapphire. Precis. Eng. 62, :40-46

  56. Wang Z, Yu H, Lin Z, Wang Q (2019) Analysis on parameters of polishing using fixed abrasive diamond pellets elastic tool, 9th International Symposium on Advanced Optical Manufacturing and Testing Technologies: Advanced Optical Manufacturing Technologies, Chengdu, China

  57. Li J, Huang J, Hua C, Wang J, Zhu Y, Zuo D (2019) Design of surface grooves on a polishing pad based on slurry uniform flow. Int J Adv Manuf 103(9-12):4795–4803

    Google Scholar 

  58. Mirjavadi SS, Alipour M, Hamouda AMS, Matin A, Kord S, Afshari BM, Koppad PG (2017) Effect of multi-pass friction stir processing on the microstructure, mechanical and wear properties of AA5083/ZrO2 nanocomposites. J Alloys Compd 726:1262–1273

    Google Scholar 

  59. Mirjavadi SS, Alipour M, Hamouda AMS, Givi MKB, Emamy M (2014) Investigation of the effect of Al-8B master alloy and strain-induced melt activation process on dry sliding wear behavior of an Al-Zn-Mg-Cu alloy. Mater Des 53:308–316

    Google Scholar 

  60. Ebrahimi M, Zarei-Hanzaki A, Abedi HR, Azimi M, Mirjavadi SS (2017) Correlating the microstructure to mechanical properties and wear behavior of an accumulative back extruded Al-Mg2Si in-situ composite. Tribol Int 115:199–211

    Google Scholar 

  61. Mirjavadi SS, Alipour M, Emamian S, Kord S, Hamouda AMS, Koppad PG, Keshavamurthy R (2017) Influence of TiO2 nanoparticles incorporation to friction stir welded 5083 aluminum alloy on the microstructure, mechanical properties and wear resistance. J Alloys Compd 712:795–803

    Google Scholar 

  62. Lai Z, Hu Z, Fang C, Xiao Z, Hsieh P, Chen M (2019) Study on the wear characteristics of a lapping wheel in double-sided lapping based on the trajectory distribution. IEEE T Semiconduct M 32(3):352–358

    Google Scholar 

  63. Lai Z, Hu Z, Fang C, Yu Y, Xiao Z, Hsieh P, Chen M (2020) Research on factors affecting wear uniformity of the wheels in the double-sided lapping. J Manuf Process 50:653–662

    Google Scholar 

  64. Jumare AI, Abou-El-Hossein K, Goosen WE, Cheng Y-C, Abdulkadir LN, Odedeyi PB, Liman MM (2018) Prediction model for single-point diamond tool-tip wear during machining of optical grade silicon. Int J Adv Manuf 98(9-12):2519–2529

    Google Scholar 

  65. Zebala W, Kowalczyk R (2015) Estimating the effect of cutting data on surface roughness and cutting force during WC-Co turning with PCD tool using Taguchi design and ANOVA analysis. Int J Adv Manuf 77(9-12):2241–2256

    Google Scholar 

  66. Khorasani A, Yazdi MRS (2017) Development of a dynamic surface roughness monitoring system based on artificial neural networks (ANN) in milling operation. Int J Adv Manuf 93(1-4):141–151

    Google Scholar 

  67. Kim HJ, Egan B, Shi XZ, Han JH (2018) A new approach to the formation mechanism of tungsten void defect in chemical mechanical polishing. Ecs J Solid State Sci Technol 7(11):P693–P697

    Google Scholar 

  68. Kim HJ, Lawyer A, Egan B, Ng M, Huang CC, Han JH (2018) Study on the mechanism of nano-flake defect during tungsten contact chemical mechanical polishing. Ecs J Solid State Sci Technol 7(4):P175–P179

    Google Scholar 

  69. Zhou Y, Pan GS, Zou CL, Wang L (2017) Chemical mechanical polishing (CMP) of SiC wafer using photo-catalyst incorporated pad. Ecs J Solid State Sci Technol 6(9):P603–P608

    Google Scholar 

  70. Wang CW, Kurokawa S, Doi T, Yuan JL, Sano Y, Aida H, Zhang KH, Deng QF (2017) The polishing effect of sic substrates in femtosecond laser irradiation assisted chemical mechanical polishing (CMP). ECS J Solid State Sci Technol 6(4):P105–P112

    Google Scholar 

  71. Tsai MY, Chen WK (2011) Effect of CMP conditioner diamond shape on pad topography and oxide wafer performances. Int J Adv Manuf 55(1-4):253–262

    Google Scholar 

  72. Tian QY, Wang SL, Xiao Y, Wang CW, Wang QW, Liu FX, Zhang J, Wang R (2018) Effect of amine based chelating agent and H2O2 on cobalt contact chemical mechanical polishing. Ecs J Solid State Sci Technol 7(8):P416–P422

    Google Scholar 

  73. Cheng J, Wang BQ, Wang TQ, Li CK, Lu XC (2018) Chemical mechanical polishing of inlaid copper structures with Ru/Ta/TaN as barrier/liner layer. Ecs J Solid State Sci Technol 7(11):P634–P639

    Google Scholar 

  74. Cheng J, Wang TQ, Pan JS, Lu XC (2016) Corrosion investigations of ruthenium in potassium periodate solutions relevant for chemical mechanical polishing. J Electron Mater 45(8):4067–4075

    Google Scholar 

  75. Cheng J, Wang TQ, Wang J, Liu YH, Lu XC (2016) Effects of KIO4 concentration and pH values of the solution relevant for chemical mechanical polishing of ruthenium. Microelectron Eng 151:30–37

    Google Scholar 

  76. Liu GR, Liu YL, Niu XH, Zhang WQ, Wang CW, Yang SH, Ma TD (2018) Effects of large particles on MRR, WIWNU and Surface Quality in TEOS chemical mechanical polishing based on FA/O alkaline slurry. Ecs J Solid State Sci Technol 7(11):P624–P633

    Google Scholar 

  77. Koli D, Liang R, Kim HJ, Solan R (2016) Advanced process control for variability control in chemical mechanical polishing process. ECS Trans 72(18):11–16

    Google Scholar 

  78. Huang CJ, Mu WX, Zhou H, Zhu YW, Xu XM, Jia ZT, Zheng L, Tao XT (2018) Effect of OH- on chemical mechanical polishing of beta-Ga2O3(100) substrate using an alkaline slurry. RSC Adv 8(12):6544–6550

    Google Scholar 

  79. Yang SH, Zhang BG, Wang CW, Zhang WQ, Liu YL, Gao BH (2018) Studies on electrochemical characteristics of SiGe in application to chemical mechanical polishing. Ecs J Solid State Sci Technol 7(5):P213–P220

    Google Scholar 

  80. You K, Seo J, Kim PJH, Song T (2017) Control of tungsten protrusion with surface active agent during tungsten chemical mechanical polishing. Ecs J Solid State Sci Technol 6(12):P822–P827

    Google Scholar 

  81. Wei KH, Hung CC, Wang YS, Liu CP, Chen KW, Wang YL (2016) Cleaning methodology of small residue defect with surfactant in copper chemical mechanical polishing post-cleaning. Thin Solid Films 618:77–80

    Google Scholar 

  82. Zhong ZW, Tian YB, Ang YJ, Wu H (2012) Optimization of the chemical mechanical polishing process for optical silicon substrates. Int J Adv Manuf Technol 60(9-12):1197–1206

    Google Scholar 

  83. Popuri R, Sagi KV, Alety SR, Peethala BC, Amanapu H, Patlolla R, Babu SV (2017) Citric acid as a complexing agent in chemical mechanical polishing slurries for cobalt films for interconnect applications. Ecs J Solid State Sci Technol 6(9):P594–P602

    Google Scholar 

  84. Oniki T, Khajornrungruang P, Suzuki K (2017) In situ measurement method for film thickness using transparency resin sheet with low refractive index under wet condition on chemical mechanical polishing. Japanese Journal of Applied Physics 56(7):07kh02

  85. Han JY, Shi XZ, Wu CH, Koli D, Kim HJ (2017) Effect of reactive ion etch on the polishing selectivity during silicon nitride chemical mechanical polishing for sub-10 nm logic device. ECS J Solid State Sci Technol 6(4):P101–P104

    Google Scholar 

  86. Bae K, Baek KH, Kim J, Kim H, Yoon BU, Kim JJ (2017) Highly selective chemical mechanical polishing of Si3N4 over SiO2 using advanced silica abrasive. Jpn J Appl Phys 56(5):056501

    Google Scholar 

  87. Kim H, Hong S, Jin Y, Lim DH, Kim JY, Hwang H, Kim T (2017) Investigation of copper oxide ring formation during post chemical mechanical polishing cleaning of Cu interconnect. ECS J Solid State Sci Technol 6(8):P542–P546

    Google Scholar 

  88. Kim HJ, Egan B, Solan R, Shi XZ, Han JH (2018) Brush cleaning effect on tungsten voids defect in chemical mechanical polishing, IEEE 29th Annual Semi Advanced Semiconductor Manufacturing Conference, Apr 30-May 03, 2018. Saratoga Springs, NY

    Google Scholar 

  89. Cui J, Huang HL, Zhuang Y, Ward W, Nava V, Chen XQ (2017) XPS study of tungsten and barrier film transition at various stages of chemical mechanical polishing endpoint and of surface compositions post-CMP cleaning. Ecs J Solid State Sci Technol 6(9):P633–P640

    Google Scholar 

  90. Cheng J, Wang TQ, Lu XC (2017) Galvanic corrosion inhibitors for Cu/Ru couple during chemical mechanical polishing of Ru. ECS J Solid State Sci Technol 6(1):P62–P67

    Google Scholar 

  91. Sagi KV, Teugels LG, van der Veen MH, Struyf H, Babu SV (2017) Chemical mechanical polishing and planarization of Mn-based barrier/Ru liner films in Cu interconnects for advanced metallization nodes. ECS J Solid State Sci Technol 6(5):P259–P264

    Google Scholar 

  92. Sagi KV, Teugels LG, van der Veen MH, Struyf H, Alety SR, Babu SV (2017) Chemical mechanical polishing of chemical vapor deposited Co films with minimal corrosion in the Cu/Co/Mn/SiCOH patterned structures. Ecs J Solid State Sci Technol 6(5):P276–P283

    Google Scholar 

  93. Lu JB, Chen R, Liang HZ, Yan QS (2018) The influence of concentration of hydroxyl radical on the chemical mechanical polishing of SiC wafer based on the Fenton reaction. Precision Eng J Int Soc Precision Eng Nanotechnol 52:221–226

    Google Scholar 

  94. Zhao X, Niu XH, Wang JC, Yin D, Yao CH (2017) Role of a new type chelating agent in chemical mechanical polishing of r-plane sapphire substrate. Ecs J Solid State Sci Technol 6(9):P618–P625

    Google Scholar 

  95. Gong YD, Qu SS, Yang YY, Liang CY, Li PF, She YB (2019) Some observations in grinding SiC and silicon carbide ceramic matrix composite material. Int J Adv Manuf 103(5-8):3175–3186

    Google Scholar 

  96. Hu C, Chen P, Xiang W (2019) Influence of rare earth Tb4O7 addition on the densification, abrasion resistance and microstructure of alumina ceramics. Ceram Int 45(3):3263–3268

    Google Scholar 

  97. Yuan ZW, Zheng P, Wen Q, He Y (2018) Chemical kinetics mechanism for chemical mechanical polishing diamond and its related hard-inert materials. Int J Adv Manuf Technol 95(5-8):1715–1727

    Google Scholar 

  98. Tian YB, Ang YJ, Zhong ZW, Xu H, Tan R (2013) Chemical mechanical polishing of glass disk substrates: preliminary experimental investigation. Mater Manuf Process 28(4):488–494

    Google Scholar 

  99. Zhang CP, Zhao HY, Gu YW, Ban XX, Jiang CY (2017) Design of an ultra-precision CNC chemical mechanical polishing machine and its implementation. Opt Eng 57(1):015104

    Google Scholar 

  100. Liu DF, Chen GL, Hu Q (2017) Material removal model of chemical mechanical polishing for fused silica using soft nanoparticles. Int J Adv Manuf Technol 88(9-12):3515–3525

    Google Scholar 

  101. Zhong ZW, Tian YB, Ng JH, Ang YJ (2013) Chemical Mechanical Polishing (CMP) Processes for Manufacturing Optical Silicon Substrates with Shortened Polishing Time. Mater Manuf Process 29(1):15–19

    Google Scholar 

  102. Werrell JM, Mandal S, Thomas ELH, Brousseau EB, Lewis R, Borri P, Davies PR, Williams OA (2017) Effect of slurry composition on the chemical mechanical polishing of thin diamond films. Sci Technol Adv Mater 18(1):654–663

    Google Scholar 

  103. Tatsumi N, Maruoka K, Harano K, Ito T, Sumiya H (2018) Crystalline quality distributions of the type Ila diamond substrate and the CVD diamond layer processed by chemical mechanical polishing using a SiO2 wheel. Jpn J Appl Phys 57(10):105503

    Google Scholar 

  104. Hu ZH, Qin CJ, Chen ZZC, Yang ZP, Fang T, Mao MJ (2018) Experimental study of chemical mechanical polishing of the final surfaces of cemented carbide inserts for effective cutting austenitic stainless steel. Int J Adv Manuf Technol 95(9-12):4129–4140

    Google Scholar 

  105. Ozdemir Z, Ozdemir A, Basim GB (2016) Application of chemical mechanical polishing process on titanium based implants. Mat Sci Eng C-Mater Biol Appl 68:383–396

    Google Scholar 

  106. Ozdemir Z, Basim GB (2017) Effect of chemical mechanical polishing on surface nature of titanium implants FT-IR and wettability data of titanium implants surface after chemical mechanical polishing implementation. Data in Brief 10:20–25

    Google Scholar 

  107. Alsaeedi R, Ozdemir Z (2018) Evaluation of chemical mechanical polishing-based surface modification on 3D dental implants compared to alternative methods. Materials 11(11):Article Number 2286

  108. Kumar CR, Omkumar M (2019) Optimisation of process parameters of chemical mechanical polishing of soda lime glass. Silicon 11(1):407–414

    Google Scholar 

  109. Guo XG, Yuan S, Wang XL, Jin ZJ, Kang RK (2019) Atomistic mechanisms of chemical mechanical polishing of diamond (100) in aqueous H2O2/pure H2O: molecular dynamics simulations using reactive force field (ReaxFF). Comput Mater Sci 157:99–106

    Google Scholar 

  110. Wen JL, Ma TB, Zhang WW, van Duin ACT, Lu XC (2017) Atomistic mechanisms of Si chemical mechanical polishing in aqueous H2O2: ReaxFF reactive molecular dynamics simulations. Comput Mater Sci 131:230–238

    Google Scholar 

  111. Shi XL, Zou CL, Pan GS, Gong H, Xu L, Zhou Y (2017) Atomically smooth gallium nitride surface prepared by chemical-mechanical polishing with S2O82--Fe2+ based slurry. Tribol Int 110:441–450

    Google Scholar 

  112. Rastegar V (2018) Effect of large particles during chemical mechanical polishing based on numerical modeling of abrasive particle trajectories and material removal non-uniformity. IEEE Trans Semicond Manuf 31(2):277–284

    Google Scholar 

  113. Wei X, Yang XD, Xie XZ, Hu W (2016) A material removal rate model-based chemical action of ultra-thin SUS304 substrate in chemical mechanical polishing. Int J Adv Manuf Technol 85(1-4):287–290

    Google Scholar 

  114. Lin ZC, Wang RY, Ma SH (2018) Theoretical model and experimental analysis of chemical mechanical polishing with the effect of slurry for abrasive removal depth and surface morphology of silicon wafer. Tribol Int 117:119–130

    Google Scholar 

  115. Momoniat E (2017) A Reynolds equation modelling Coriolis force effects on chemical mechanical polishing. Int J Non-Lin Mech 92:111–117

    Google Scholar 

  116. Zhu AB, He DY, Luo WC, Liu YY (2016) Role of crystal orientation on chemical mechanical polishing of single crystal copper. Appl Surf Sci 386:262–268

    Google Scholar 

  117. Zhu AB, He DY, He SL, Luo WC (2017) Material removal mechanism of copper chemical mechanical polishing with different particle sizes based on quasi-continuum method. Friction 5(1):99–107

    Google Scholar 

  118. Kawaguchi K, Ito H, Kuwahara T, Higuchi Y, Ozawa N, Kubo M (2016) Atomistic mechanisms of chemical mechanical polishing of a Cu surface in aqueous H2O2: tight-binding quantum chemical molecular dynamics simulations. ACS Appl Mater Interfaces 8(18):11830–11841

    Google Scholar 

  119. Yu T, Li Z, Wu D (2019) Predictive modeling of material removal rate in chemical mechanical planarization with physics-informed machine learning. Wear 426, :1430-1438

  120. Luo B, Yan Q, Pan J, Guo M (2020) Uniformity of cluster magnetorheological finishing with dynamic magnetic fields formed by multi-magnetic rotating poles based on the cluster principle. Int J Adv Manuf 107(1-2):919–934

    Google Scholar 

  121. Azimi M, Mirjavadi SS, Asli SA (2016) Investigation of mesh sensitivity influence to determine crack characteristic by finite element methods. J Fail Anal Prev 16(3):506–512

    Google Scholar 

  122. Zhong ZW, Venkatesh VC (2009) Recent developments in grinding of advanced materials. Int J Adv Manuf Technol 41(5-6):468–480

    Google Scholar 

  123. Zeng Y, Zhang J, Zhou H, Guo H (2019) A new processing technique for fabrication of ultra-thin wafer. Int J Adv Manuf 100(5-8):1287–1298

    Google Scholar 

  124. Li L, He Q, Zheng M, Ren Y, Li XL (2019) Improvement in polishing effect of silicon wafer due to low-amplitude megasonic vibration assisting chemical-mechanical polishing. J Mater Process Technol 263:330–335

    Google Scholar 

  125. Hu Y, Shi D, Hu Y, Zhao HW, Sun XD (2018) Investigation on the material removal and surface generation of a single crystal SiC wafer by ultrasonic chemical mechanical polishing combined with ultrasonic lapping. Materials 11(10):Article Number 2022

  126. Yuan ZW, He Y, Sun XW, Wen Q (2018) UV-TiO2 photocatalysis-assisted chemical mechanical polishing 4H-SiC wafer. Mater Manuf Process 33(11):1214–1222

    Google Scholar 

  127. Wang J, Wang TQ, Pan GS, Lu XC (2016) Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN. Appl Surf Sci 378:130–135

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zhao-Wei Zhong.

Additional information

Publisher’s note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhong, ZW. Recent developments and applications of chemical mechanical polishing. Int J Adv Manuf Technol 109, 1419–1430 (2020). https://doi.org/10.1007/s00170-020-05740-w

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00170-020-05740-w

Keywords

Navigation